[验证百花筒] DPI-C在sv中最简单的应用

[验证百花筒] DPI-C在sv中最简单的应用

引言

本文介绍了一个最简单的例子,实现再sv中使用c函数,同时在c中使用sv中定义的task,编译器使用的是vcs

sv里的代码

sv想要引用c语言的函数,需要通过 import "DPI-C"的方式将c函数导入当前作用域,C语言与SV关于函数参数的映射关系可以参考绿皮书。
sv代码

c代码

由于c函数中是不能添加延迟的,所以我们通过调用sv中的task来实现添加延迟的功能,将sv中的task导出供C模块使用用到的是export “DPI-C” task
c代码

vcs需要添加如下参数(c文件跟sv文件混在一起编译)
Makefile代码

仿真结果

Alt 仿真结果

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值