如何通过DPI-C连接Verilog/SystemVerilog和C/C++

1. 在Verilog中调用C函数

首先:准备好纯C/C++代码。比如:

#include <iostream>
  int helloFromCpp(int a) {
  // 0 is 0
  // 1 is 1
  // 2 is Z
  // 3 is X
  int a_int = a;
  cout << "(C++) a is " << a_int << endl;
  return 0;
	}

其次:在verilog调用C函数

module automatic test;
 
   import "DPI-C" function int helloFromCpp(int a);
  
  initial run();
  
   task run();
    logic a = 1'bx;
    $display("a is %0d", a);
    helloFromCpp(a);
    a = 1;
    $display("a is %0d", a);
    helloFromCpp(a);
    a = 1'bz;
    $display("a is %0d", a);
    helloFromCpp(a);
  endtask
 
endmodule

注意用import语法将C函数声明一下。
最后编译运行:

vcs -timescale=1ns/1ns +vcs+flush+all +warn=all -sverilog my_dpi.cc

2. 在C代码中调用Verilog的function/task

如果反过来调用就要用到export语法。
首先:verilog中修改如下:

module automatic test;
 
  import "DPI-C"  context task helloFromCpp(logic a);
  //import "DPI-C" function int helloFromCpp(int a);
  export "DPI-C" function adder;
  
  initial run();
  function int adder(int a, int b);
    return a+b;
  endfunction
  
  task run();
    logic a = 1'bx;
    $display("a is %0d", a);
    helloFromCpp(a);
    a = 1;
    $display("a is %0d", a);
    helloFromCpp(a);
    a = 1'bz;
    $display("a is %0d", a);
    helloFromCpp(a);
  endtask
 
endmodule

接着修改C代码
增加adder的定义

extern int adder(int a, int b);

需要注意的是此时Verilog的Import变成了context task。因为c函数里面调用了verilog的function/task。

  • 0
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

poena

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值