基于FPGA的随机数和伪随机数

基于FPGA的随机数和伪随机数

1.随机数

:系统函数$random能够返回一个有符号的32位整数的随机数,但它不能被综合即不能下载到硬件中使用,因此只能在testbench测试文件中使用;

常用例子:

         $random%100            产生-99——99范围的随机数;
         {$random}%100          产生  0——99范围的随机数;

2.伪随机数

可以被综合,能够在硬件上使用,使用LFSR(线性反馈移位寄存器)产生的伪随机数,实际上是一个周期性的序列,但从应用的角度来说,其随机程度已经足够,故可以应用到需要产生随机数的操作中。LFSR可以分为两类:一类是斐波那契LFSR,是通过外异或的方式产生伪随机数;另一类是伽罗瓦LFSR,是通过内异或的方式产生的伪随机数。

详细的通俗易懂的伪随机数(伽罗瓦LFSR)的讲解见此链接:https://www.cnblogs.com/BitArt/archive/2012/12/22/2827005.html

  • 5
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值