UVM::TLM

TLM : transaction level modeling


TLM classes

uvm_*_export

uvm_*_imp

uvm_*_port

uvm_*_fifo

uvm_*_socket


PUSH mode

producer call port.put();

consumer implement put();


class producer extends uvm_component;

uvm_blocking_put_port #(packet) put_port;

function void_build_phase(uvm_phase phase);

put_port = new("put_port", this);

endfunction

virtual task initial_tr();

put_port.put(tr);

endtask

endmodule


class consumer extends uvm_component();

uvm_blocking_put_imp #(packet, consumer) put_export;

function void_build_phase(uvm_phase phase);

put_export = new("put_export", this);

endfunction

virtual task put(packet tr);

process_tr(tr);

endtask

endmodule

class env_test extends uvm_env;

producer p;

consumer c;

virtual function void connect_phase(uvm_phase phase);

p.put_port.connect(c.put_export);
endfunction

endclass



PULL mode

FIFO mode

class env_test extends uvm_env;

producer p;

consumer c;

uvm_tlm_fifo #(packet) tr_fifo;

virtual function void build_phase(uvm_phase phase);

p = producer::type_id::creare("p",this);

c = consumer::type_id::create("c",this);

tr_fifo = new("tr_fifo",this);

endfunction

virtual function void connect_phase(uvm_phase phase);

//p.put_port.connect(c.put_export); // push mode

p.put_port.connect(tr_fifo.put_export);

c.get_port.connect(tr_fifo.get_export);
endfunction

endclass


一般是push/pull + fifo 来使用



  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值