自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 资源 (38)
  • 收藏
  • 关注

原创 嵌入式系统中鲁棒性的理解

文章目录前言定义不同领域中的鲁棒性分类鲁棒性与稳定性鲁棒性验证前言之前在音频算法的课题中听到过鲁棒性这个概念,一直没有明白这个是什么概念,在一篇论文中看到了对嵌入式相关描述中也出现了鲁棒性问题,所以打算研究一下。定义鲁棒是Robust的音译,也就是健壮和强壮的意思。它也是在异常和危险情况下系统生存的能力。比如说,计算机软件在输入错误、磁盘故障、网络过载或有意攻击情况下,能否不死机、不崩溃,就是该软件的鲁棒性。所谓“鲁棒性”,也是指控制系统在一定(结构,大小)的参数摄动下,维持其它某些性能的特性。根

2021-04-30 11:04:36 1796 2

原创 Linux双网卡设置IP属于同一网段的ping方法以及现象记录分析

文章目录前言ping以及MAC地址总结前言写在前面:经常遇到客户将板卡上的两个网络设备的IP地址设置为同一网段的情况,比如eth0:192.168.0.232,eth1:192.168.0.30.我的解释是,不能设置为同一网段,或者使用其中一个时候下线另外一个网卡。但是我不知道这个说法到底是根据什么,查了很多资料也没找到明确的说法,但是下面这个实验能够说明一些现象的原因。ping以及MAC地址首先查看网卡的信息ifconfig因为两个网卡都在同一个网段,所以如果这个时候直接和我们的主机(I

2021-04-28 11:42:54 16318 4

原创 Linux嵌入式 gdb VSCode图形化调试教程

文章目录介绍GDB简介交叉编译器的gdb介绍在学习单片机的时候我们可以通过集成式IDE 来进行调试,比如MDK、IAR 等。在嵌入式linux 领域是否也可以进行调试呢?答案肯定是可以的,在嵌入式linux 领域我们最常用的就是GDB 调试工具,通过GDB 来调试嵌入式C 程序。本章我们首先学习如何搭建嵌入式Linux的GDB 调试环境,然后讲解如何使用GDB 工具调试C 程序。GDB简介gdb 工具是GNU 项目调试器,基于命令行。和其他的调试器一样,我们可以使用gdb 来一行行的运行程序

2021-04-15 11:25:36 3561 1

原创 Linux 多个网卡配置静态IP地址

静态IP网络配置文件主要有以下几个:IP地址配置文件、主机名称配置文件、DNS配置文件。编辑文件/etc/network/interfaces:nano /etc/network/interfaces并用下面的行来替换有关eth0的行:# The primary network interfaceauto eth1iface eth1 inet staticaddress 192.168.0.30gateway 192.168.0.1netmask 255.255.255.0使用

2021-04-14 13:20:06 2994 2

原创 VS Code改变文件打开默认编码及自动匹配文件编码格式

描述由于Powershell和MDK工程文件集默认全部采用gb2312编码,使用VS Code打开的时候每个文件都需要选择文件编码格式,可以改变VS Code的默认编码格式,这样就方便多了。配置上方搜索框输入“编码”或“Encode”,搜索如下设置项:FIles:Encoding:下拉选择VS Code默认打开文件时选择的编码格式Files:Auto Guess Encoding:VS Code会根据打开的文件自动猜测合适的编码格式并选择该格式打开文件,默认不开启,这里选择启用。...

2021-04-14 09:41:48 1325

原创 USB转网口驱动信息的查看和配置

文章目录查看USB转网口转接头信息USB转网口驱动配置USB驱动信息的查看查看USB转网口转接头信息首先,查看一下没有插入转接头之前的网口信息ip addr然后插上USB转网口的转接头到开发板调试界面弹出如下的信息这里我们要注意到这几个信息,第一个就是,网卡被识别成eth1,第二个就是网卡型号:ASIX AX88772B USB 2.0 Ethernet,很重要!然后查看ip信息ip addr可以看到多出来一个eth1,就是我们的外接网卡,因为现在是默认的动态ip,所以会显示一

2021-04-12 15:16:35 7430

原创 STM32 CubeMX 看门狗

文章目录看门狗STM32的内置看门狗WWDG-窗口看门狗CubeMX操作步骤1)使能 WWDG时钟2)设置窗口值 ,分频数 和计数器初始值3)开启 WWDG4)使能中断通道并配置优先级(如果开启了 WWDG中断)5) 编写中断服务函数6) 重写窗口看门狗唤醒中断处理回调函数 HAL_WWDG_WakeupCallback结果看门狗在由单片机构成的微型计算机系统中单片机的工作常常会受到来自外界电磁场的干扰,造成程序的跑飞,而陷入死循环;或者因为用户配置代码出现BUG,导致芯片无法正常工作,出于对单片机运行

2021-04-09 17:29:29 3507 1

原创 Linux中echo的使用方法

echo命令我们经常使用的选项有两个,一个是-n,表示输出之后不换行。另外一个是-e,表示对于转义字符按对应的方式处理,假设不加-e那么对于转义字符会按普通字符处理。echo输出时的转义字符\b 表示删除前面的空格\n 表示换行\t 表示水平制表符\v 表示垂直制表符\c \c后面的字符将不会输出,同一时候,输出完毕后也不会换行\r 输出回车符(可是你会发现\r前面的字符没有了)\a 表示输出一个警告声音echo中的重定向能够把内容输出到文件里而不是标准输出 echo "..

2021-04-07 16:13:38 435

原创 Linux嵌入式USB接口的测试

文章目录须知使能U盘U盘测试须知注意, NXP官方的 Linux内核默认已经使能了 U盘!所以我们可以插上直接使用使能U盘虽然可以直接使用,但是我们还是要学习一下如何手动配置Linux内核,使能U盘U盘使用 SCSI协议,因此要先使能 Linux内核中的 SCSI协议,配置路径如下:我们还需要使能 USB Mass Storage,也就是 USB接口的大容量存储设备,配置路径如下:U盘测试在插入U盘之前ls /dev准备好一个 U盘,注意 U盘要为 FAT32格式的! NT

2021-04-07 11:04:39 1247

原创 Linux开发环境搭建关键的库文件搜索调用(静态库)

头文件的搜索gcc 在编译时如何去寻找所需要的头文件:编译器指定的参数 -I 给出的头文件包含路径然后找gcc的环境变量 C_INCLUDE_PATH,CPLUS_INCLUDE_PATH,OBJC_INCLUDE_PATH(分别对应C、C++、objective-C的头文件)GCC标准安装目录,系统标准include路径。安装GCC套件的时候可以通过–prefix参数手动指定安装位置。默认的安装位置在:gcc/x86_64-linux-gnu/7/,在这个文件夹之下包含了很多的头文件

2021-04-02 14:25:20 111

原创 Linux下CMake中使用pthread多线程编程调试教程及错误分析

文章目录VS Code插件CMakeLists编写编译调试第一步,debug第二步,kit第三步,Build执行问题分析Linux下编写多线程的程序,需要使用到pthread.c库,使用gcc编译,不能直接gcc product.c -o product而是需要在命令的后面添加一个命令,gcc在编译的时候通过 -L参数 手动指定的搜索路径gcc product.c -o product -lpthread所以,对于调试过程,也要进行这个的静态库的调用,现在的问题就是如何在cmake中添加静

2021-04-02 14:18:13 5197 3

原创 Linux多线程并发运行原理+代码例程详解

交叉编译#include <stdio.h>#include <pthread.h>#include <stdlib.h>/*thread1*/void thread1(void){ int i = 0; for(i = 0; i < 6; i++) { printf("This is a pthread1. \n"); if(i == 2){ pthread_exit(0);.

2021-04-02 09:41:09 2123

Linux嵌入式字符设备驱动模块(自动创建设备节点)modules_chrdev_auto

详细教程参考文章https://blog.csdn.net/szm1234/article/details/113615535

2021-02-08

Linux嵌入式飞凌开发板GPIO驱动模块modules_gpio_test

配套教程https://blog.csdn.net/szm1234/article/details/113586118

2021-02-08

Linux嵌入式应用层和内核层数据传输modules_file_operations

配套教程https://blog.csdn.net/szm1234/article/details/113487063

2021-02-08

Linux嵌入式杂项设备驱动模块modules_misc

配套教程https://blog.csdn.net/szm1234/article/details/113419471

2021-02-08

Linux嵌入式驱动模块modules_helloworld

自己编写测试,教程详见文章https://blog.csdn.net/szm1234/article/details/112601700

2021-02-08

QT编写的定时器Time

绝对好使

2021-02-08

QT编写的UDP上位机

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

QT编写的TCP服务器

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

QT编写的TCP客户端上位机

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

STM32F407 HAL库实现EEPROM功能(实战项目中使用)

STM32F407 HAL库实现EEPROM功能(实战项目中使用),CubeMX生成初始化函数之后,对于EEPROM的实现,还是需要修改很多内容,从IIC到EEPROM服务函数,都打包在了资料中

2020-11-16

STM32F407 HAL库添加标准库中的delay函数

STM32F407 HAL库添加标准库中的delay函数,HAL库中只有HAL_Delay()函数实现延时,单位是ms,要实现us延时,不像标准库中delay_us()就可以了,所以增加了这个函数,来方便标准库转移到HAL库中的朋友使用习惯。

2020-11-16

STM32F407 EC11旋转编码器驱动函数

STM32F407 EC11旋转编码器驱动函数,里面写了好多个版本,可以根据需要使用,这个只是实现功能,实际要用,一般都在中断中,按照思路改到中断就可以了。

2020-11-16

AD8361芯片手册.pdf

官方的英文芯片手册,尽量还是看英文吧,虽然费劲。这个芯片针对射频功率的检测还是挺靠谱的,芯片手册主要也是内部结构还有参数等介绍。

2020-11-16

I.MX6Q英文参考手册(NXP官方)

i.mx6开发必备,NXP官网下载还得注册什么的,需要的还是去NXP官网直接下载吧,要是嫌麻烦,直接下载这个也一样。

2020-11-16

udp_echoserver DP83848网络服务函数库

udp_echoserver DP83848网络服务函数库,配合教程完美实现数据的收发,测试平台STM32F407,其他同理

2020-09-01

神经网络九步学习法(真正的深入浅出)

这个神经网络九步学习法是微软亚洲研究院推荐的,从最简单的讲起,彻底搞明白神经网络到底是怎么一会事儿,吃透了这个,后面的所有都是万变不离其宗

2020-08-02

魔笛stm32网络收音机.rar

基于STM32的网络收音机,附说明书,网络音频的实时传输的实现,感兴趣的欢迎一起交流研究,现在怎么还非得五十个字才行,还得凑字数,越来越麻烦了

2020-07-26

STM32超级好用的LM75库函数

这里需要LM75芯片A0 A1 A2全部接地才能用,不然需要更改I2C的地址,STM32下效果非常好

2020-06-13

绝对简单好用的迪文串口屏串口通讯协议库(STM32)

STM32环境下,绝对简单好用的迪文串口屏串口通讯协议库,这个我已经实际用了,实测DMT48270C043_04WN可以完美应用

2020-06-13

PIO_ESP32离线包

PIO_ESP32离线包 VS Code安装platformIO疯狂踩坑记 Arduino环境配置 https://blog.csdn.net/szm1234/article/details/124784452

2022-05-15

13.带FIFO的ADDA实验

配套文章 https://blog.csdn.net/szm1234/article/details/123454871?spm=1001.2014.3001.5501 本实验在DAC FIFO实验的基础上完成 把DAC输出模拟信号自环给ADC的模拟输入 ADC使用25MHz的时钟信号采样 ADC的输出的数据信号,用ILA抓取观察波形 用VIO配置频率字,分别生成1MHz和3MHz的DDS正弦波形,用Matlab分析频谱,验证频率的正确性。

2022-04-03

12.DAC FIFO实验

基于“DDS IP 数字波形合成DAC ” “ ADDA测试” 实验方案 用MMCM 把 合成出100MHz的时钟,让DDS工作在100MHz时钟 让DAC和DAC的接口电路工作在50MHz,此时DAC的采样率为50MHz 在DDS和DAC接口电路之间,放置一个带独立时钟的AXI-Stream-Data FIFO,FIFO两端的时钟分别为DDS的工作时钟100MHz和DAC的工作时钟50MHz DDS的数据输出接口需要有TREADY信号 DAC接口电路需要将FIFO输出端的AXI-S接口转换成DAC的接口格式,自行编写RTL代码完成该功能。 配套文章 https://blog.csdn.net/szm1234/article/details/123454871?spm=1001.2014.3001.5501

2022-04-03

11.DDS ADDA测试工程文件

注意,AN108是34针的插头,注意其插装位置,1脚和zynq底板对齐,不要插错 黑金AN108的低通滤波器通带为0-20MHz左右 基于“DDS IP 数字波形合成DAC ” 实验方案,使用50MHz时钟频率,使用DAC输出正弦波。 把DAC输出模拟信号自环给ADC的输入 使用MMCM分频,给ADC提供25MHz采样时钟 使用ILA捕获ADC的输出数据,不少于2048样点。 使用Matlab分析ADC数据频谱 用VIO更改频率字,生成1MHz和3MHz的正弦信号,用Matlab分析ILA数据验证频谱正确。 配套文章 https://blog.csdn.net/szm1234/article/details/123207043?spm=1001.2014.3001.5501

2022-04-03

10.DDS IP 数字波形合成

使用 Vivado的IPI工具,例化DDS IP DDS需要能够配置频率字(相位增量) DDS工作时钟使用PL的板载50MHz时钟 使用ILA工具观察波形, 使用VIO设定频率字 在ILA的波形窗口里,观察你设定的波形的周期,验证你频率字设定的正确性 把ILA波形导出到CSV文件,波形样点长度不小于2048点,在Matlab里分析波形的频谱,验证你生成波形的正确性。 使用VIO更改频率字,分别生成1MHz和3MHz的正弦波形。使用以上流程,验证你输出波形的正确性。 配套文章 https://blog.csdn.net/szm1234/article/details/123207043?spm=1001.2014.3001.5501

2022-04-03

Win11任务栏里华为电脑管家图标重叠怎么办?HwTrayWnd.dll

Win11任务栏里华为电脑管家图标重叠怎么办?

2021-08-05

WM8960 音频编解码芯片 PCB、SCH、数据手册

WM8960 音频编解码芯片 PCB、SCH、数据手册

2021-05-28

SP3485 RS485半双工芯片 PCB、SCH、数据手册

SP3485 RS485半双工芯片 PCB、SCH、数据手册

2021-05-28

SN75179 R485全双工芯片 PCB、SCH、数据手册

SN75179 R485全双工芯片 PCB、SCH、数据手册

2021-05-28

PCA9306D IIC电平偏移芯片 PCB、SCH、数据手册

PCA9306D IIC电平偏移芯片 PCB、SCH、数据手册

2021-05-28

HR911105A_RJ45 100M网口 PCB、SCH、数据手册

HR911105A_RJ45 100M网口 PCB、SCH、数据手册

2021-05-28

CH455G键盘扫描芯片PCB,SCH,数据手册

CH455G键盘扫描芯片PCB,SCH,数据手册

2021-05-28

AX88772A/B/C USB以太网芯片PCB,SCH,数据手册,参考电路

AX88772 USB以太网芯片PCB,SCH,数据手册

2021-05-28

24LC256 EEPROM存储器PCB,SCH,数据手册

24LC256 EEPROM存储器PCB,SCH,数据手册

2021-05-28

Python疫情数据的爬虫和可视化显示(实现地图数据显示)

配套博文https://blog.csdn.net/szm1234/article/details/112717515,Python疫情数据的爬虫和可视化显示,中国地图可视化显示

2021-02-10

Linux嵌入式驱动开发——平台总线实例modules_platform

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

Linux嵌入式platform设备模块modules_platform_device

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

Linux嵌入式platform驱动模块modules_platform_dirver

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除