Vivado一键生成Verilog例化代码方法分享

 

 


前言

天下苦Vivado无代码例化模板久矣,士可996,但不可无生产力工具。这里开源一个Verilog例化模板生成脚本vinst,便于直接集成到Vivado中。


 

一、vinst是什么?

vinst是一个TCL脚本,用于Verilog例化模板生成,下载地址如下,

https://github.com/telantan/script.git

二、使用步骤

1.设置Vivado启动时自动加载脚本

 

进入下面目录,

%APPDATA%/Xilinx/Vivado/

新建init.tcl文件,输入如下内容,注意脚本路径切换成本地真实地址,

 

source {E:\Vivado\script\ver_inst.tcl} -notrace

2.Vivado设置

启动Vivado,注意TCL Console中如果显示类似如下信息,说明启动脚本加载成功,

INFO: [Common 17-1460] Use of init.tcl in C:/Users/Y7000P/AppData/Roaming/Xilinx/Vivado/init.tcl is deprecated. Please use Vivado_init.tcl 
Sourcing tcl script 'C:/Users/Y7000P/AppData/Roaming/Xilinx/Vivado/init.tcl'

Tools -> Custom Commands -> Customize Commands,

 

Run command 输入以下命令,可以选择加-p0 ,-p1或者-pa等参数,具体区别,自己尝试

vinst [get_selected_objects] 

确认以后,工具栏会多一个TCL图标,

在Sources窗口中,选中一个verilog代码,点击工具栏中新添加的按钮,

在TCL Console窗口中,会出现类似下面内容,具体怎么用,自己选择,

如果添加-p1参数,

vinst [get_selected_objects] -p1

 

 


总结

通过vinst脚本,可以在Vivado中实现一键生成Verilog例化代码,还算方便。当然,脚本必然有很多功能限制,bug也不少,正如人无完人,脚本亦如此。

  • 6
    点赞
  • 59
    收藏
    觉得还不错? 一键收藏
  • 8
    评论
Vivado中,参数例化是指在设计中使用参数来实现灵活性和可重用性。通过参数例化,可以在设计中使用不同的参数值,而无需修改设计本身。在给定的引用\[1\]中,没有提到具体的Vivado参数例化的内容。但是,引用\[2\]和引用\[3\]提供了一个例子,展示了如何在Verilog代码中使用参数来实现LED灯的变化。在这个例子中,参数MCNT被定义为25'd24999999,用于控制计数器的最大值。通过修改这个参数的值,可以改变LED灯的闪烁速度。因此,这个例子可以被视为一个Vivado参数例化的示例。 #### 引用[.reference_title] - *1* [Vivado一键生成Verilog例化代码方法分享](https://blog.csdn.net/telantan/article/details/114178283)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [FPGA vivado 使用参数化设计实现语法简写和模块重用](https://blog.csdn.net/weixin_52067364/article/details/126860959)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值