UVM:4.2.3 PORT 与 IMP 的连接

177 篇文章 141 订阅

1.A 和之前相同。

2.B 只有 imp:



在B 中定义类似put 的task/ function 有如下规律:

1)因为是blocking_put ,只有一种情况:等待(因为被堵住了),所以只有put(反正也堵住回不去,可以使task/function)。

2)nonblocking_put,非阻塞可以返回,所以又try_put 函数 和can_put函数(不要有和时间有关,以免影响其它)。

3)put:应该是3个 put task/function,try_put function,can_put function。

4)blocking_get:get

5)nonblocking_get:try_get ,can_get。

6)get:get,try_get,can_get。

7)blocking_peek:peek

8)nonblocking_peek:try_peek,can_peek。

9)peek:peek,try_peek,can_peek。

10)blocking_get_peek:get,peek。

11)nonblocking_get_peek:try_get,can_get,try_peek,can_peek。

12)get_peek:get,try_get,can_get,peek,try_peek,can_peek。

13)blocking_transport:transport。

14)nonblocking_transport:nb_transport。

15)transport:transport,nb_transport。


3.env:



A向A_port写入10个transaction,B的put也会被调用10次。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值