UVM:7.2.3 在验证平台中使用寄存器模型

177 篇文章 139 订阅

1.建立好后,可以在sequence 和其它components 中使用。参考模型为例有一个寄存器模型指针:



2.之前已经给env 的p_rm赋值,只需要在env中将p_rm 传给参考模型:



3.寄存器模型提供了两个基本任务:read 和write。

在参考模型中读取寄存器,使用read 任务:



read 原型如下所示:



1)常用前3个参数。

第一个是输出,表示操作是否成功。

第二个是输出,读取的数值。

第三个读取方式,前门 or 后门。


4.由于参考模型一般不写寄存器,对于write 任务,以在virtual sequence 为例。在sequence 中使用寄存器模型,通常通过引用p_sequencer(其实sequence 想得到外部的数据,渠道不多,m_sequencer cast 成p_sequencer应该是最常用的)。

1)首先在sequencer 中有一个寄存器模型的指针:


之前已给v_sqr.p_rm赋值。

2)因此可以直接以如下进行写操作:



write原型为:



5.寄存器模型对sequence 的transaction 类型没有任何要求。因此,可以在一个发送my_transaction 的sequence 中使用寄存器模型对寄存器进行读写操作。

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值