UVM:7.8.1 get_root_blocks

177 篇文章 139 订阅

1.之前的例子中,若要使用寄存器模型,则必须将寄存器模型的指针传过去,如在virtual sequence 使用,需要传递给virtual sequencer:


2.此外,还可以不使用指针传递的情况下得到寄存器模型的指针:


1)得到最顶层的reg_block。

2)7.4.1的 reg_model 是root block,但是其下的三个不是。


3.例子如下:


1)得到reg_block 的指针后,要使用cast 将其转化成目标reg_block形式。以后直接用p_rm,不必使用p_sequencer.p_rm。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值