uvm_root——世界树根

uvm_root:uvm组件的根节点,所有parent为null的component都继承于uvm_root,下图表明uvm_root也继承于component。
在这里插入图片描述

uvm_top:uvm_root的唯一实例,由系统自动创建。由于uvm_root是一个类,需要使用new才可创建,因此下文将介绍uvm_root创建的流程:
1、在uvm_root类里使用const声明了uvm_top的常量,编译时采用类::的方式调用静态的get函数完成对uvm_top的创建。

const uvm_root uvm_top = uvm_root::get();

2、在uvm_root的get函数先用静态函数get创建一个核心服务类uvm_coreservice_t,返回cs的get_root函数。
3、在uvm_coreservice_t的get_root中返回m_get_uvm_root函数。
4、在uvm_root的m_get_uvm_root函数中创建并返回了uvm_root的实例。

# class uvm_root
  static function uvm_root get();
    uvm_coreservice_t cs = uvm_coreservice_t::get();
    return cs::get_root();
  endfunction
  
  static function uvm_root m_uvm_get_root();
    if(m_inst==null)begin
      m_inst = new();
      void'(uvm_domain::get_common_domain());
      m_inst.m_domain = uvm_domain::get_uvm_domain();
    end
    return m_inst;
  endfunction
  
#class uvm_coreserve_t  
  static function uvm_coreservice_t get();
    if(inst==null)
      inst = new();
    return inst;
  endfunction
  
  static function uvm_root get_root();
    return uvm_root::m_uvm_get_root();
  endfunction

综上所述,uvm_top最终是通过uvm_root的m_get_uvm_root创建,但是执行途中创建了uvm_coreservice_t。
uvm_coreservice_t是核心服务类,所有全局服务都包含在该类中,如factorty机制,消息报告机制等。

  • 7
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
【优质项目推荐】 1、项目代码均经过严格本地测试,运行OK,确保功能稳定后才上传平台。可放心下载并立即投入使用,若遇到任何使用问题,随时欢迎私信反馈与沟通,博主会第一时间回复。 2、项目适用于计算机相关专业(如计科、信息安全、数据科学、人工智能、通信、物联网、自动化、电子信息等)的在校学生、专业教师,或企业员工,小白入门等都适用。 3、该项目不仅具有很高的学习借鉴价值,对于初学者来说,也是入门进阶的绝佳选择;当然也可以直接用于 毕设、课设、期末大作业或项目初期立项演示等。 3、开放创新:如果您有一定基础,且热爱探索钻研,可以在此代码基础上二次开发,进行修改、扩展,创造出属于自己的独特应用。 欢迎下载使用优质资源!欢迎借鉴使用,并欢迎学习交流,共同探索编程的无穷魅力! 基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip 基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip 基于业务逻辑生成特征变量python实现源码+数据集+超详细注释.zip

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值