UVM:8.2.3 复杂的重载

177 篇文章 139 订阅

1.UVM支持连续的重载:


结果:



2.替换的重载:从bird 派生出了新的鸟sparrow:


输出:


1)组后一个有效。

2)前提是replace参数为1。(默认为1)

3)如果为0。



第二次无效。

在创建bird 时,factory 查询到两条相关的激励,它要看到最后一条,然后建立sparrow 实例。


3.所以下列也是可以的:


输出:


1)与第三条违背,前提是最终的类要与被重载的类有派生关系。

2)没有例化parrot,否则会错。

replace为0应该不成功才对呀!!

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值