UVM:8.4.3 用factory 机制创建实例的接口

177 篇文章 140 订阅

1.create_object_by_name,根据类名字创建object,原型:


一般只用第一个:



2.create_object_by_type,根据类型创建一个object,原型:


一般只用第一个:



3.create_component_by_name,根据类名创建一个component,原型:


1)第一个类名。

2)第二个父节点全名。

3)第三个新的component名字。

4)第四个父节点指针。

4个都要用:


一般在component 的new 或者build_phase 中使用。如果在object 中,很难确认parent;如果在connect_phase 之后调用,由于UVM 要求component 在build_phase 及之前例化完毕,所以会失败。

5)uvm_component 内部有一个函数是create_component,就是调用此:


只有两个参数。


4.create_component_by_type,根据类型创建一个component,原型为:


4个参数全用:


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值