UVM:9.1.3 UVN 中callback 机制的原理

177 篇文章 139 订阅

1.如下的 callback:


1)假设这是一个城市的VIP 的driver,pre_tran 应该是my_driver 的一个函数,要callback pre_tran。factory可以,但这里不考虑。


2.新引入一个类:


1)可以避免重新定义一次my_driver,只需要重新定义A的pre_tran。重新派生A 的代价远小于my_driver。


3.使用的时候,只要从A 派生一个类并将其例化,然后重新定义pre_tran 函数,callback 目的就达到了。

1)但却忽略了一点。my_driver 怎么知道A 派生了一个类,并且例化呢?

2)所以,UVM 又引入一个新的类,假设称为A_pool,专门存放A 或者A的派生类的一个池子。UVM 会执行这个池子中所有实例的pre_tran 函数,即:


3)使用的时候,从A 派生一个类并将其例化,然后加入到A_pool中,上述foreach 就会知道加入了一个实例,并调用其pre_tran。

4)UVM 中的callback 机制与此类似,不过其代码实现非常复杂。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值