自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(72)
  • 收藏
  • 关注

原创 【Linux】【Vim】Vim 基础

vim 的基本使用方法

2024-09-11 19:51:49 981

原创 【Tessent IJATG Users Manual】【Ch5】IJTAG Network Insertion

Tessent IJTAG 插入的基本方法

2024-08-07 11:13:18 1194 2

原创 【Tessent】【Command】set_design_level & Design Level

命令的基本内容,以及不同 design level 之间的区分。

2024-08-05 15:43:18 672

原创 【Tessent MemoryBIST Users Manual】【Ch2】Getting Started

使用 Tessent Shell 插入 Tessent MemoryBIST 的基本方法和一些常用情景下的示例。如果是对 repairable memories 进行 mbist,会有一些额外的步骤。在 sub-block、physical block 或者 chip level 进行 MBIST 插入的流程和主要步骤基本一致。

2024-07-30 19:05:49 1148

原创 【Tessent】【DftSpecification】【1】【IjatgNetwork】(3) Sib&Tdr

但是在实际的设计中,TDR 的具体结构可能是不同的,端口名称也是不同的,这一部分的描述就是告诉工具每个端口的功能(工具只能识别默认的端口名称,如果不是这个名称,工具就不知道它的功能是什么,需要你告诉工具)。当该 bit 为 1 的时候,SIB 会将连接到它的 host port 的 client nodes 包含在内,将其(client nodes)作为 active scan path 的一部分。这些 SIBs 的存在可以保证访问其他的 cores 的时候绕过有缺陷的 core 或者是断电的 core。

2024-07-25 16:23:03 1014 5

原创 【Tessent Shell Users Manual】【Ch5】Workflows(2)【Hierarchical Designs】(1) Physical Blocks

Tessent Shell 使用名为 “divide and conquer” (分而治之)的方法学进行 hierarchical DFT,在 sub-physical block level 进行 RTL and Scan DFT 插入。从最低层级的 bolck是开始,自底向上(bottom-up process)进行层次化 DFT 实现。层次化的设计方法学是大规模设计变得高效,芯片设计者将设计拆分为多个 RTL blocks,并行设计不同的功能模块。

2024-07-17 11:58:15 1056

原创 【Tessent Shell Users Manual】【Ch5】Workflows(1)【Tessent Shell Flow for Flat Designs】

Tessent Shell 的 workflow 可以分为两大类:prelayout 和 postlaout。prelayout DFT 流程是使用 Tessent Shell 对 flat 或者 hierarchical 设计进行操作;postlayout flow 是对完成布局布线的网表进行操作。在 flat design 的 RTL 和 Scan DFT 插入流程中,可以对整个 chip-level 的设计进行 DFT 插入。

2024-07-16 15:27:16 841

原创 【Tessent Shell Users Manual】【Ch4】DFT Architecture Guidelines for Hierarchical Designs

随着设计规模的增大,大部分芯片都是层次化的设计流程。对于 DFT 而言,同样也可以使用层次化设计方法学进行测试插入、测试生成和诊断。采用层化设计方法的 DFT 称之为 hierarchical DFT。

2024-07-12 17:13:22 836

原创 【Tessent Shell Users Manual】【Ch3】Design Introspection and Editing(未完待续)

Tessent Shell 提供了一系列用于检查(examining/introspecting)和编辑design的命令。

2024-07-11 14:00:58 756

原创 【Tessent Shell Users Manual】【Ch1】Tessent Shell Instroduction

Tessent™ Shell是一个可以运行所有Tessent工具的平台,包括共享的设计数据、通用数据库以及强大的脚本工具,它提供了一个完整的自动化DFT流程,以及满足特定需求的定制化流程。

2024-07-10 10:05:30 837

原创 【工艺库】SMIC数字后端工艺库

工艺库文件部分内容介绍

2023-11-14 23:20:02 3501 6

原创 【HSPICE仿真】输入网表文件(6)用户自定义分析输出(.measure)

measure语句的一些用法,

2023-11-02 22:19:57 2627

原创 【HSPICE仿真】实战练习(1)基础仿真分析

实战练习1,基本的仿真流程和一些个人想法的仿真验证

2023-08-31 11:20:08 8303 3

原创 【HSPICE仿真】输入网表文件(3)子电路描述语句

子电路描述语句的使用

2023-08-30 16:57:10 3012

原创 【HSPICE仿真】输入网表文件(5)基本仿真输出

HSPICE 仿真结果的输出

2023-08-30 16:04:30 5889

原创 【HSPICE】输入网表文件 --- 常用分析类型及相关描述语句

HSPICE中一些基础仿真类型的介绍

2023-08-29 22:07:46 2922

原创 【HSPICE】输入网表文件 --- 电路结构和输入激励的描述

电路拓扑结构的描述方法

2023-08-29 11:11:29 5096

原创 【HSPICE】输入网表文件 --- 基本内容

HSPICE仿真基础

2023-08-26 22:08:20 3573 1

原创 【HSPICE】SPICE简介和HSPICE仿真

HSPICE仿真概述

2023-08-25 17:33:56 6416

原创 【DC】逻辑综合实战

逻辑综合的一个case,主要是根据要求书写时序约束文件。

2023-08-24 19:58:14 1103

原创 【数字IC基础】CMOS电路的低功耗设计

数字电路功耗分析的基础

2023-08-04 22:52:26 2587

原创 【数字IC基础】时序违例的修复

时序违例修复的基本方法

2023-08-04 10:38:46 1977

原创 【数字IC基础】从触发器到亚稳态

从触发器的基本结构到建立时间和保持时间以及亚稳态问题的引入

2023-08-01 17:43:19 1428

原创 【数字IC基础】竞争与冒险

数字电路中竞争冒险的基础知识

2023-07-28 19:52:33 1377

原创 【数字IC】芯片产业链

芯片产业链基本介绍

2023-07-27 11:53:34 388

原创 【Linux】Centos的一些操作

记录一些 Linux 的一些操作

2023-07-26 21:42:46 1156

原创 【VCS】(7)Fast Gate-level Verification

VCS 后仿的基本流程

2023-07-26 17:30:21 419

原创 【VCS】(6)Code Coverage

VCS基础 --- 代码覆盖率分析

2023-07-26 10:50:16 1547

原创 【VCS】(5)Fast RTL-level Verification

VCS 基础

2023-07-25 17:11:16 369

原创 【VCS】(4)Debugging Simulation Mismatches

VCS 仿真基础

2023-07-25 14:49:10 436

原创 【VCS】(3)Post-processing with VCD+ files

基于 VCS 的数字逻辑仿真基础 --- VCD文件的后处理

2023-07-24 12:32:19 721

原创 【VCS】(2)VCS调试基础(DVE)

VCS调试基础,使用图形化DVE进行调试

2023-07-21 15:31:34 4996

原创 【VCS】(1)VCS仿真基础

VCS基础

2023-07-20 16:37:38 7638 4

原创 【DFT】MBIST (1) MBIST基础

MBSIT 基础

2023-06-09 23:07:59 8239 1

原创 【DC】DC工具 report_timing 命令的一些选项

如果指定了时钟对象,则此选项选择由指定时钟控制的端点,但仅选择由时钟源处的时钟上升沿捕获的路径,同时考虑沿时钟路径的任何逻辑反转。这一组选项和第一组选项的内容一样,只是第一组选项选定的是 endpoint ,这里选定的是 stratpoint。指定每个 endpoint 要报告的最大路径数。默认值为1,它只报告在给定端点结束的单个最差路径。指定默认情况下每个 path group 要报告的路径数,或者如果。选项,且设计没有时序限制,默认情况下会报告到输出端口的最长路径。选项指定的组内具有最差松弛的路径。

2023-06-07 21:41:52 5301

原创 【Tessent】Scan and ATPG 【ch8 Test Pattern Generation】Timing-Aware ATPG

Timing-aware ATPG基础

2023-06-05 16:16:21 1252

原创 【Tessent】Scan and ATPG 【ch2 Scan and ATPG Basics】(4) Multiple Detect(n-detect)

Tessent 手册第二章中 N-detect 基础知识

2023-06-02 13:44:09 958

原创 【C++】随机数

C++中随机数的使用

2023-05-25 23:08:23 274

原创 【C++】黑马C++泛型编程和STL技术 (11) STL常用算法

STL常用算法

2023-05-24 00:04:43 471

原创 【C++】黑马C++泛型编程和STL技术 (10) STL函数对象

STL函数对象基础

2023-05-23 20:48:00 226

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除