FPGA学习之按键控制led

这篇博客介绍了一个FPGA设计案例,通过8个按键控制8个LED的亮灭。设计包括两个模块:按键缓存和LED控制。缓存模块使用二级缓存消除按键的尖峰脉冲,LED控制模块利用case语句根据按键值点亮相应的LED。测试文件提供了不同按键输入的仿真,以验证设计功能。
摘要由CSDN通过智能技术生成

按键控制led

设计要求:通过8个按键分别控制一个led的亮灭。

 

该实验有两个模块:按键缓存模块和由按键值控制led模块

按键缓存模块:通过二级缓存,将按键值存入key_r1,防止按键时产生的尖峰脉冲影响按键值。

由按键值控制led模块:采用case语句,一一对应控制led的亮灭。

 

顶层代码:

module keyled(clk,rst_n,key,led);

 

input clk;

input rst_n;

input [7:0]key;

output [7:0]led;

 

//二级缓存按键数据

reg [7:0]key_r0,key_r1;

always @(posedge clk or negedge rst_n)

    if(!rst_n)begin

        key_r0 <= 8'd0;

        key_r1 <= 8'd0;

    end

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值