分频器最新设计

 

在数字逻辑电路设计中,分频器是一种基本电路。通常用来对某个给定频率进行分频,以得到所需的频率。

VHDL代码如下:

port(clk : in std_logic; 

     cl1k: out std_logic);

end prescaler_50;

architecture rtl  of prescaler_50 is

signal count50:std_logic_vector(5 downto 0);       

begin

process(clk)

begin

if(clk'event and clk='1')thenif(count50="110001")then

count50<="000000";else

count50<=count50+1

end if;

clk1<=count50(5);end if;

end process;

end rtl;

 

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值