hdlbits.01xz.net /Circuits/Sequential Logic/Finite State Machines/PS/2 packet parser and datapath

连续处理时候, done状态之后要立即拿下一组的byte1

module top_module(
    input clk,
    input [7:0] in,
    input reset,    // Synchronous reset
    output [23:0] out_bytes,
    output done); //

    // FSM from fsm_ps2

    // New: Datapath to store incoming bytes.
    
    reg b3;
    assign b3 = in[3];
    
    parameter BYTE1 = 4'b0001;
    parameter BYTE2 = 4'b0010;
    parameter BYTE3 = 4'b0100;
    parameter DONE  = 4'b1000;
    
    reg [7:0] byte1, byte2, byte3;
    reg [3:0] state, next;
        
    // State flip-flops (sequential)
    always @(posedge clk) begin
        if(reset)
            state = BYTE1;
        else
            state = next;
    end
 
    // State transition logic (combinational)
    always @(*) begin
        if(reset ==0) begin
            case (state)
                BYTE1: begin
                    if(b3)
                        next = BYTE2;
                    else 
                        next = BYTE1;
                end
                BYTE2: begin
                    next = BYTE3;
                end
                BYTE3: begin
                    next = DONE;
                end
                DONE : begin
                    if(b3)
                        next = BYTE2;
                    else
                        next = BYTE1;
                end
                default: begin
                    next = BYTE1;
                end
            endcase
        end
        else
            next = BYTE1;
    end

    always @(posedge clk) begin
        case (state)
            BYTE1: begin
                byte1 = in;
            end
            BYTE2: begin
                byte2 = in;
            end
            BYTE3: begin
                byte3 = in;
            end
            DONE: begin
                if (next == BYTE2)
                    byte1 = in;
            end
         endcase
    end
 
    // Output logic
    assign done = (state == DONE)? 1'b1: 1'b0;
    assign out_bytes = {byte1, byte2, byte3};

endmodule

容易出错地方就是处理byte1, 错误示例波形:

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值