基于74HC595的静态数码管显示程序

/*基于74HC595的静态数码管倒计时程序*/
#include<reg51.h>
sbit Output_Clock = P3^5; //输出时序,上升沿输出。8位数据都输入完成后操作。
sbit Input_Clock = P3^6;  //输入时序,上升沿输入来自输入端的数据。
sbit Input_Data = P3^4;   //输入引脚,用于输入数据。
void Send_Number(int a)   //数码管显示数字的段码。
{
	if(a == 0)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1; //上升沿输入出数据
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1; 
		Output_Clock = 0;Output_Clock = 1;               //数据输入完成后整体输出数据。
	}
	if(a == 1)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 2)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 3)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 4)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 5)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 6)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 7)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 8)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
	if(a == 9)
	{
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 1;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Input_Clock = 0;Input_Data = 0;Input_Clock = 1;
		Output_Clock = 0;Output_Clock = 1;		
	}
}
void Delay(int a,int b)           //延时程序
{
	int i,j;
	for(i = 0;i <= a;i ++)
	{
		for(j = 0;j <= b;j ++);
		{}
	}
}
void main()
{
	int i = 0;
	int k = 99999;
	Send_Number(i);
	while(1)
	{
		Delay(600,100);
		Send_Number(i);
		i ++;
		if(i == 10)
		{
			i = 0;
		}
	}
}

 

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值