Modelsim仿真如何查看内部信号

Modelsim仿真如何查看内部信号

一般Modelsim看的信号波形都是test bench中定义的默认信号。有时候需要看模块内部信号。

可以选择sim窗口,查看internal,一般选择需要查看的信号在Objects窗口出现的信号,根据需要直接拖到Wave窗口即可。

还可以采用的方法是在Transcript窗口输入命令add wave /testbench_name/design_unit_name/signal_name。该名字为其选择信号的路径。若不太清楚可以在Object窗口在需要信号的上面悬浮鼠标可以显示器路径,如图所示。

但是上述方法查看波形比较麻烦,因为每次从Quartus中调用Modelsim时都会进行默认配置,这就需要你每次查看内部信号,都得新添加该信号,而却还得选择simulate ->start simulation,添加信号后,在选择 run ->run-all。还存在个问题就是,仿真波形,只能显示此刻开始以后的。不能查看先前的。

若果要从开始查看波形信号变化情况,建议添加信号放在脚本文件(.do)文件中,然后在quartus仿真配置中,勾选use script to set up simulation,选择 (.do)文件路径。这样每次仿真就会按照文件中的命令逐条执行。

关于脚本写法如图所示

这样只要在quartus中设置好这个(.do)文件,modelsim就会按照自上而下产生所需波形。而且每次调试仿真不必再退出modelsim,直接在Transcript中,输入quit -sim,可退出当前仿真结果,再输入do **.do(及所需的.do文件),即可进行调试仿真。

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值