ModelSim波形仿真指南

ModelSim波形仿真指南


首先,新建工程,接着按提示建立两个或多个Verilog文件。里面必须要有一个测试文件,它用于给模块提供输入。下面两个图片即为这两个文件的源码。切记文件名一定要和里面的模块名一致。
模块文件
测试文件
接着,保存文件后点击下图圈着的图标进行编译:编译
编译成功后,再点击下图编译的文件仿真:仿真
会弹出如下窗口,选择第一个里面的测试文件,再点击确定,如下图:在这里插入图片描述
选择view选项里的objects,使其前面出现对号,若有objects窗口可不用此操作:
在这里插入图片描述
在出现的objects窗口中选择你想观察的信号,右键选择Add to,再wave,再selected signal,如下图:
在这里插入图片描述
你会看到如下界面
在这里插入图片描述
点击下图圈中的图标进行仿真:在这里插入图片描述
可以看到如下仿真结果,可以放大或缩小:
在这里插入图片描述

  • 7
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值