数字电路基础知识(二)

本文介绍了数字电路基础知识,包括D触发器的实现:基本D触发器、带异步清0和异步置1的D触发器、同步清0和同步置1的D触发器。此外,还讨论了FPGA中的三角函数计算、FIFO控制器、内存类型(SRAM, DRAM, SDRAM)以及滤波器的基础知识,如无源滤波器和有源滤波器的工作原理。" 99697695,8203947,360秋招2020编程题解析:立体图形表面积与数字排列,"['编程题', '算法', '数据结构', '面试准备', '编程竞赛']
摘要由CSDN通过智能技术生成

PS:为了面试准备的,总结的比较粗糙。

 

1.实现D触发器逻辑

//基本D触发器

module D_EF(Q,D,CLK)

input D,CLK;

output Q;

reg Q;                           //在always语句中被赋值的信号要声明为reg类型 寄存器定义

always @ (posedge CLK)

       begin Q <= D; end

endmodule

 

//带异步清0、异步置1的D触发器

module D_EF(q,qn,d,clk,set,reset)

input d,clk,set,reset;

output q,qn;

reg q,qn;//寄存器定义

always @ (posedge clk or negedge set or negedge reset)

       begin

              if(!reset) begin q<=0;qn<=1;end//异步清0,低有效

              else if(!set) begin q<=1;qn<=1;end //异步置1,低有效

              else begin q<=d;qn<=~d;end

       end 

endmodule

 

//带同步清0、同步置1的D触发器

module D_EF(q,qn,d,clk,set,reset)

input d,clk,set,reset;

output q,qn;

reg q,qn;

always @ (posedge clk)

       begin

              if(reset) begin q<=0;qn<=1;end//同步清0,高有效

              else if(set) begin q<

  • 11
    点赞
  • 172
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值