UVM平台搭建

本文档详细介绍了如何在Linux环境下搭建UVM+VCS+Verdi验证平台,以及在Windows环境下搭建Questa-sim+UVM平台。首先在Linux中,将uvm-1.1a.tar.gz解压并配置环境变量,接着编译UVM库。在ubus/examples目录下,通过执行make命令来验证平台的正确性。同时,建议将Makefile.vcs内容合并到一个Makefile中,并添加-fsdb_old选项以生成fsdb波形文件。在Windows平台,未提供具体步骤,但提到了hello_demo示例。
摘要由CSDN通过智能技术生成
随笔: UVM平台.pdf (550.07 KB)
下载次数: 85
2015-4-1 16:33
下载消耗 资产 1 信元 下载支出 -1 信元 
(贴出来的东西,图片显示不了,pdf是对应的详细 教程

也许平台不是很难,但是网上没有UVMVCS中的详细教程,但是对于初学者就是一道屏障,我探索了几天,下文将一步一步的举例子说明UVM+VCS+Verdiliunx平台搭建过程(假设你已经安装好VCSverdi)、和Questa-sim+UVMwindow平台搭建。

UVM+VCS+Verdi 基本平台:
准备:UVM 库,网上很多,我们只需要一个版本的库即可,这里我上传了
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值