clock tree exceptions 讲解

数字IC后端设计实现之时钟树例外(Exclude Pin、Stop Pin、Non_stop Pin、Float Pin)全面揭秘

 

吾爱IC社区小编之前提到过时钟树综合(Clock tree synthesis)是数字IC后端设计实现中最难的一个环节,如果你能够处理超级复杂时钟结构的时钟树综合,那么你就是数字后端设计实现的大师了。今天为大家分享一下CTS阶段的一些时钟树例外的Pin(引脚例外)吧。如果时钟树综合阶段Skew出现了问题,那么很有可能是这些引脚没有指定设置好,可以看一下下面的内容来debug自己的设计吧。

 

时钟树例外(clock tree exceptions)

ICC中可以用该命令指定时钟树例外:set_clock_tree_exceptions

这里主要讲解引脚例外(Pin exceptions),大致分为以下几种类型:

 

Pin结点的类型

Pin类型

别名

备注

exclude pin

ignore pin

不用平衡的节点

stop pin

sync pin/sink pin

需要平衡的节点

non_stop pin

 

信号会穿过这个节点

float pin

 

最终节点”藏“在后面

 

 

1.不间断引脚(-non_stop_pins)

2.排除引脚(-exclude_pins)

3.浮动引脚(-float_pins)

4.停止引脚(-stop_pins)

此外还有一种:

5.-dont_touch_subtrees

 

时钟树异常的优先级

如果同一个引脚多次发出set_clock_tree_exceptions命令,

引脚保持最高优先级的例外。该工具按以下顺序优先考虑时钟树引脚例外:

1.不间断引脚

2.排除引脚

3.浮动引脚

4.停止引脚

其中5和上面四种是不冲突的,可以重复定义

 

下面将对1~5分别做以介绍:

 

1、Nonstop pins(不间断引脚)

不间断引脚是通常被认为时钟树端点的引脚,但ICC会穿过它们以跟踪查找真正的时钟树端点。驱动生成时钟的时序单元的时钟引脚是隐式不间断引脚。此外,ICC支持用户定义(或显式)不间断引脚。

要指定不间断引脚,可以使用命令:set_clock_tree_exceptions -non_stop_pins

数字IC后端实现中典型的non-stop pin有以下几种:

 

ICG的时钟引脚

generated clock分频的点

 

2、Exclude pins(排除引脚)

排除引脚是从时钟树时序计算和优化中排除的时钟树端点。ICC仅在计算和优化设计规则约束时使用排除引脚。除了ICC推断的排除引脚(隐式排除引脚)外,ICC还支持用户定义(或显式)排除引脚。例如,您可以定义一个排除引脚来排除从某些组合逻辑引出的时钟树的所有分支(如下图所示),或者排除隐式停止引脚。

在时钟树综合(CTS)期间,ICC通过在排除引脚(隐式和显式排除引脚)之前插入guide buffer来从时钟树中隔离这些引脚。对于排除引脚,ICC不会执行Skew或插入延迟优化,而是执行设计规则修复,即只修timing drc,比如max_transition,max_capacitance,max_fanout等指标。

要指定排除引脚,可以使用命令:set_clock_tree_exceptions -exclude_pins

数字IC后端设计实现之时钟树例外(exclude pin、stop pin、non_stop pin、float pin)全面揭秘

 

 

3、Float pins(浮动引脚)

Float Pins是具有特殊插入延迟要求的时钟引脚。工具在计算到该Float Pins的插入延时(Insertion delay)时,将把Float Pin延迟(正或负)添加到计算Insertion delay中去。要指定Float Pins及其时序特性,可以使用以下命令:

set_clock_tree_exceptions

选项:set_clock_tree_exceptions选项:

• -float_pins [get_pins pin_list]

• -float_pin_max_delay_fall max_delay_fall_value

• -float_pin_max_delay_rise max_delay_rise_value

• -float_pin_min_delay_fall min_delay_fall_value

• -float_pin_min_delay_rise min_delay_rise_value

• -float_pin_logic_level logic_level_value

注意:

如果使用-float_pins选项,则必须至少指定一个浮动引脚延迟选项否则将发生错误。

Float pin实例:

# Specifying anegative float pin

icc_shell>set_clock_tree_exceptions -float_pins U1/CLK

-float_pin_max_delay_rise -0.5 -float_pin_max_delay_fall -0.5

# Specifying apositive float pin

icc_shell>set_clock_tree_exceptions -float_pins U4/CLK

-float_pin_max_delay_rise 0.5 -float_pin_max_delay_fall 0.5

浮动引脚延迟值可以是正或负,取决于您的时序要求。要增加引脚的路径延迟,请指定负引脚延迟。要减小引脚的路径延迟,请指定一个正的浮点引脚延迟。

上述的命令将会生成如下类似结构的时钟树:

数字IC后端设计实现之时钟树例外(exclude pin、stop pin、non_stop pin、float pin)全面揭秘

推荐阅读

数字IC backend 后端设计实现之时钟树综合clock tree synthesis实践高级篇

 

硬宏单元的内部延迟在单元的时序模型中表示。该工具使用时序模型来确定硬宏单元的外部时钟引脚,并将这些引脚用作时钟汇点。在时钟树综合期间,ICC会对这些Macro外部时钟引脚平衡Skew并最小化插入延迟。因此对于硬宏单元不需要额外的指定。

如果您没有硬宏单元的时序模型,或者您想要修改硬宏单元的时序特征,请使用浮动引脚来指定硬宏单元内部时钟树的时序特征。您可以通过指定从浮动引脚看到宏单元内部的时钟汇点的最小和最大插入延迟到来定义时序特征。

 

 

4、Stop pins(停止引脚)

Stop pins是用于平衡时钟树延迟的时钟树端点。在时钟树综合期间,ICC在计算和优化设计规则约束和时钟树时序(偏移和插入延迟)时使用Stop pins。

默认时钟汇点是隐式停止引脚。此外,ICC支持用户定义(或显式)停止引脚。例如,您可以定义一个停止引脚来结束在组合单元输入上的分支,或者使用隐式排除引脚作为时钟汇点。

ICC为所有停止引脚(隐式和显式)分配零相位延迟,并在延迟平衡期间使用此延迟。

要指定停止引脚,可以使用命令:set_clock_tree_exceptions -stop_pins

 

5、Dont_touch_subtrees(不触碰子树)

在某些情况下,希望保留现有时钟树的一部分,需要这样设置。例如,当两个时钟网络共享多路选择器后面的某些时钟逻辑的一部分时。保留的时钟树的部分称为不触碰子树。要指定不触碰子树,可以使用set_clock_tree_exceptions -dont_touch_subtrees命令指定不触碰子树的根引脚。

虽然该工具在时钟树综合期间没有对不接触子树进行任何修改,但它会传播时钟树属性和非默认布线规则(NDR)到不要触碰的子树。为了防止时钟属性和非默认布线规则的传播,请将cts_traverse_dont_touch_subtrees变量设置为false。

ICC在平衡时钟延迟和计算时钟偏移时,会考虑到不碰触子树中的时钟汇点。

要删除某个引脚上的dont_touch_subtrees属性,可以使用命令:

remove_clock_tree_exceptions -dont_touch_subtree

  • 9
    点赞
  • 52
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值