使用VIVADO2018.3综合正常,实现时闪退

软件环境:windows 7 64bit

vivado环境:2018.3

问题现象:

创建工程,综合后实现时,有时vivado自动闪退,而又没有任何报错信息。

查阅impl目录下,hs_err_pid4596.log文件:

#
# An unexpected error has occurred (EXCEPTION_ACCESS_VIOLATION)
#
Stack:
no stack trace available, please use hs_err_<pid>.dmp instead.

以上工程在其他电脑上,比如windows 10 bit上,vivado2018.3的版本则编译正常。

问题解决:

   出现以上文件,先查阅xilinx官网、baidu搜索解决办法,给出的方法大都无效。

  最后根据https://blog.csdn.net/weixin_43096369/article/details/123762508

  的方法,处理后不再闪退。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
vivado 2018.3是Xilinx公司最新版本的设计工具,用于FPGA(现场可编程门阵列)和片上系统开发。它提供了丰富的设计功能和高效的设计流程,帮助工程师在硬件设计中快速实现复杂的功能。 对于vivado 2018.3使用,需要有相应的许可证。许可证是Xilinx提供给用户的一种授权文件,通过许可证,用户可以合法地使用vivado 2018.3进行设计开发。 有关vivado 2018.3的许可证,有以下几种类型: 1. 单指定许可证(Single Design, Node-Locked License):用于特定设备和特定主机,该许可证只能在指定设备上使用,不能在其他设备上使用。 2. 网络许可证(Floating, Concurrent License):适用于在网络中共享使用vivado 2018.3的用户,该许可证可以在多个设备上共享使用,但是同只能有限定数量的用户使用。 3. 早期访问许可证(Early Access License):Xilinx早期访问计划会员可以获得这种许可证,用于使用vivado 2018.3的早期版本。 用户可以从Xilinx官网上购买许可证,也可以通过合作伙伴或分销商来获取许可证。在安装vivado 2018.3,需要输入许可证文件,以激活软件并开始使用。 总结来说,vivado 2018.3是一款强大的FPGA设计工具,但是在使用需要相应的许可证。不同类型的许可证有不同的使用范围和限制,用户可以根据自身需求选择合适的许可证,并按照要求进行购买和安装。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值