Proteus仿真运行stm32程序流水灯

Proteus仿真运行stm32程序流水灯

软件

protues8.90、keil5

准备源代码

1.点击project,选择new μvision project,创建工程名为lsd,点击保存

在这里插入图片描述
创建工程名为lsd在这里插入图片描述
点击保存然后弹出的芯片选择,我们选择芯片STM32F103RB然后点击ok
在这里插入图片描述
我们再进行选择,对相应选项进行勾选,之后点击“OK"
在这里插入图片描述
2.工程创建完毕,开始创建源文件,点击file,选择new
在这里插入图片描述
在这里插入图片描述
写入代码,代码如下(程序实现要求:8个led灯依次闪烁,然后8个灯同时闪烁8次,依次重复):

#include "stm32f10x.h"

GPIO_InitTypeDef GPIO_InitStructure;
void delay_ms(uint32_t ms)
{
	uint32_t i_cnt,j_cnt;
	for(i_cnt=0;i_cnt<3000;i_cnt++);
	for(j_cnt=0;j_cnt<ms;j_cnt++);
	
}
uint32_t i;
int main(void)
{
  
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC, ENABLE);

	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1|GPIO_Pin_2|GPIO_Pin_3|GPIO_Pin_4|GPIO_Pin_5|GPIO_Pin_6|GPIO_Pin_7;
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
	GPIO_Init(GPIOC, &GPIO_InitStructure);

	GPIOC->BSRR=0xff;
	while (1)
	{
	  for(i=0;i<8;i++)
	  {
		delay_ms(99000);
		GPIOC->BRR=(1<<i);  
		  
		delay_ms(99000);
		GPIOC->BSRR=(1<<i);
	  }
	  for(i=0;i<8;i++)
	  {
		  delay_ms(99000);
		  GPIOC->BRR=0x000000ff;
		  
		  delay_ms(99000);
		  GPIOC->BSRR=0x000000ff;
		  
	  }

	}
}

点击保存也可以Ctrl+s,这里的名称后缀必须是是.c

右键点击左侧project窗口的source Group1,选择相应的选项把.c文件添加到工程里在这里插入图片描述

在这里插入图片描述
右键中选择Option for Target,然后勾选Create HEX file,生成hex文件。
在这里插入图片描述
在这里插入图片描述

Proteus仿真

点击创建新工程,输入工程名、安装路径
在这里插入图片描述
选择从选中的模板中创建原理图(DEFAULT)
在这里插入图片描述
选择不创建PCB布版设计
在这里插入图片描述
选择创建固件项目,其中:系列选择Cortex-M3,Controller选择STM32F103R6,点击下一步。
在这里插入图片描述
点击完成,即可创建成功
在这里插入图片描述
创建项目完成后显示出自己所选的‘STM32F103R6’,系统已经配置好电源
在这里插入图片描述
添加实验所需元件灯,点击左上角“P”字图标,输入LED-GREEN,并点击确认选中
在这里插入图片描述
添加实验所需电阻,点击左边P,然后进入下图,在关键字中输入RES,并点击确认选中
在这里插入图片描述
将灯和电阻分别添加到原理图,然后右键电阻,编辑电阻,设置其阻值为50(默认阻值过大,不更换led电流达不到,亮不起来)
在这里插入图片描述
在这里插入图片描述
双击stm32f103r6芯片,进入Program File 选择LED生成的hex文件,并配置Crystal Frequency改成8M(设置晶振为8M)
在这里插入图片描述
在这里插入图片描述
效果图:
在这里插入图片描述

总结

本次完成了用Proteus仿真运行一个stm32程序流水灯的实验,对于Proteus和MDK5的使用更加的熟练。

参考资料

https://blog.csdn.net/weixin_46136508/article/details/105846011?utm_medium=distribute.pc_relevant.none-task-blog-

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值