自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(61)
  • 收藏
  • 关注

原创 基于卷积神经网络的猫狗识别

目录一、配置环境一、配置环境这里我用命令行创建虚拟环境输入如下命令创建虚拟环境conda create -n cat_dog python=3.8 #cat_dog为环境名,python版本为3.8如图,输入y如图,说明安装成功输入命令进入 cat_dog 虚拟环境activateconda activate cat_dog进入环境后,下载所需包pip install tensorflow -i https://pypi.douban.com/s

2021-06-18 13:09:12 1366 1

原创 浅谈信息熵与压缩编码

目录一、什么是信息熵一、什么是信息熵信息是个很抽象的概念。人们常常说信息很多,或者信息较少,但却很难说清楚信息到底有多少。比如一本五十万字的中文书到底有多少信息量。直到1948年,香农提出了“信息熵”的概念,才解决了对信息的量化度量问题。信息熵这个词是C.E.Shannon(香农)从热力学中借用过来的。热力学中的热熵是表示分子状态混乱程度的物理量。香农用信息熵的概念来描述信源的不确定度。信息论之父 C. E. Shannon 在 1948 年发表的论文“通信的数学理论( A Mathem

2021-05-28 21:54:15 547

原创 基于Verilog HDL的数字秒表与VGA显示

目录一、实验目的二、实验内容三、实验步骤1.新建工程2.添加 Verilog 文件3.添加 VWF 文件4.波形仿真一、实验目的1、复习EDA的设计方法及原理;2、学习Verilog HDL的设计方法,会使用Verilog HDL进行较复杂数字系统的设计。二、实验内容用Verilog HDL设计一个数字跑表,所需引脚和功能如下所示:三、实验步骤1.新建工程点击【File】→【New Project Wizard…】点击【Next >】,选择工程保存路径及工程名一直点nex

2021-05-28 13:36:22 1533

原创 HLS介绍

目录一、HLS是什么二、HLS与VHDL/Verilog有什么关系三、HLS关键性技术及存在的问题四、参考资料一、HLS是什么高层次综合(High-level Synthesis)简称HLS,指的是将高层次语言描述的逻辑结构,自动转换成低抽象级语言描述的电路模型的过程。所谓的高层次语言,包括C、C++、SystemC等,通常有着较高的抽象度,并且往往不具有时钟或时序的概念。相比之下,诸如Verilog、VHDL、SystemVerilog等低层次语言,通常用来描述时钟周期精确(cycle-accurat

2021-05-28 11:42:15 13668

原创 python实现淘宝准点抢单

我这里使用的chorme浏览器一、ChromeDriver的安装若想使用Selenium成功调用Chrome浏览器完成相应的操作,需要通过ChromeDriver来驱动。这里是ChromeDriver的官方下载地址。链接:https://chromedriver.storage.googleapis.com/index.html我们在下载之前先来确认下我们使用的Chrome浏览器版本,只需要红框内几位相同即可根据自己操作系统选择Python安装路径下Scripts文件夹中即可.

2021-05-13 22:24:23 4357 26

原创 Robei 软件安装注册及基础练习

目录一、Robei 下载安装二、Robei注册三、Robei 基础练习1.新建模块2.新建测试文件四、参考资料一、Robei 下载安装下载地址:http://robei.com/eda.php?action=download运行Rober-3.5.5.exe 文件开始安装选择安装位置开始菜单添加Robeiinstall安装完成二、Robei注册官方注册地址:http://robei.com/register.php填写完注册表之后,登录等待审核审核通过后,会

2021-04-25 18:15:11 853

原创 Verilog编程在线练习

目录一、门电路联系1.非门问题2.与门3.或非门二、组合逻辑练习1.2对1多路复用2.全加器3.卡诺地图三、时序逻辑相关练习1.D触发器2.D锁存器3.1~12计数器四、参考资料以下实验均在HDLBits — Verilog Practice 在线练习网站完成一、门电路联系1.非门问题问题描述解决代码module top_module( input in, output out ); assign out=~in;endmodule仿真结果2.与门问题描述解决代码mo

2021-04-25 14:56:00 1133 2

原创 FPGA实验二:基于 NIOSII 软核的流水灯实验

目录一、实验目的二、实验设备三、实验内容四、实验原理五、实验步骤1.硬件部分设计(1)先建立新项目(2)进行 Qsys 系统设计(3)完成 Qsys 设计的后续工作(4)生成 Qsys 系统:点选” Generation HDL”标签栏中 Generate 按(5)(5)在原理图(BDF)文件中添加 PD 生成的系统符号,如图 1.36 在空白处双击将已生成的 kernel(6)加入 Quartus II IP File 文件,为了以后编译成功,请务必将对应的 Quartus II IP(7)进行逻辑连接和

2021-04-21 17:53:35 1479 1

原创 macos终端输入conda、jupyter notebook等提示zsh: command not found

解决方法:在终端每次输入conda、jupyter notebook之前输入:source ~/.bash_profile

2021-04-12 15:26:08 418

原创 FPGA实验一: 原理图与VHDL设计初步

目录一、输入原理图实现1位加法器1.新建工程2.创建原理图文件3.半加器仿真实验目的: 通过1位全加器的详细设计, 掌握原理图输入以及Verilog的两种设计方法。软件基于quartusII 13.0版本,开发板基于Intel DE2-115。1位全加器可以用两个半加器及一个或门连接而成, 因此需要先完成半加器的设计。下面将给出使用原理图输入的方法进行底层元件设计和层次化设计的主要步骤。一、输入原理图实现1位加法器1.新建工程点击next后,选择路径及名称选择芯片直接next,之后到达

2021-04-08 18:49:28 3404 2

原创 梯度下降法——手工求解,Excel求解,python求解

目录一、梯度下降法原理1.形象的例子2.什么是梯度3.梯度下降算法原理二、手工求解三、Excel求解四、pyhton求解五、参考资料一、梯度下降法原理梯度下降法(Gradient Descent,GD)是一种常用的求解无约束最优化问题的方法,在最优化、统计学以及机器学习等领域有着广泛的应用。1.形象的例子假设这样一个场景:一个人需要从山的某处开始下山,尽快到达山底。在下山之前他需要确认两件事:下山的方向下山的距离这是因为下山的路有很多,他必须利用一些信息,找到从该处开始最陡峭的方向下山,这

2021-04-04 21:42:40 956 3

原创 Jupyter中Python矩阵基本运算的学习记录

实验环境:jupyter+python3一、python矩阵操作1.引入numpy,使用mat函数创建一个2X3矩阵,查看a的值import numpy as np#使用mat函数创建一个2X3矩阵a = np.mat([[1,2,3],[4,5,6]])#查看a的值amatrix([[1, 2, 3], [4, 5, 6]])2.使用shape获取矩阵大小#使用shape获取矩阵大小a.shape(2, 3)3.使用下标读取矩阵的元素#使用下标读取.

2021-04-03 22:22:32 4297 1

原创 Quartus-II入门实战

目录一、什么是D触发器二、自己用门电路设计一个D触发器,并进行仿真,时序波形验证1.创建工程2.新建一个波形文件3.编译原理图文件4.创建vwm格式波形文件,输入激励源一、什么是D触发器D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。二、自己用门电路设计一个D触发器,并进行仿真,时序波形验证1.创建工程填写项目名称及位置选择芯片系列及类型因为输入原理图以及用波形文件来仿真,所以EDA工具-simula

2021-04-02 21:38:29 794

原创 线性规划问题

目录一、问题描述一、问题描述现有5个广告投放渠道,分别是日间电视、夜间电视、网络媒体、平面媒体、户外广告,每个渠道的效果、费用及限制如下表

2021-04-01 22:00:46 409

原创 拉格朗日手工求解和编程求解

目录一、问题二、拉格朗日手工求解一、问题二、拉格朗日手工求解

2021-04-01 21:57:35 873

原创 Quartus II 及 Modelsim SE的安装

目录一、Quartus II 安装一、Quartus II 安装1.下载Quartus II百度网盘下载链接:https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA提取码:ifte2.解压3.运行安装程序4.选择安装位置5.选择安装内容6.next7.安装完成8.选择ok...

2021-03-30 21:29:59 3882 2

原创 Android Studio中显式Intent与隐式Intent

目录一、什么是Intent二、准备工作三、显式Intent四、隐式intent一、什么是IntentAndroid中的Intent是一个非常重要且常用的类,可以用来在一个组件中启动App中的另一个组件或者是启动另一个App的组件,这里所说的组件指的是Activity、Service以及Broadcast。简而言之,Intent是一种协助应用间的交互与通讯的机制二、准备工作创建三个新的activity1.图片显示activity先把需要显示的图片(.png)放进drawablexml文件

2021-03-28 22:38:52 1004 1

原创 鸢尾花数据集的线性多分类实验

目录一一

2021-03-26 21:31:09 3579 2

原创 对 URDF 建模进行 xacro 优化(机器人仿真学习2)

上篇文章用 RViz显示摄像头及URDF建模介绍了怎么进行URDF基础建模,这一篇文章将会对URDF进行优化目录一、为什么要对 URDF 建模进行 xacro 优化二、xacro 创建机器人模型1.创建模型文件2.创建 launch 启动文件三、在 RViz 显示模型四、总结五、参考资料一、为什么要对 URDF 建模进行 xacro 优化URDF 建模的缺点:重复内容过多;参数修改麻烦,二次开发麻烦不能进行参数计算xacro 模型文件的优点:精简了模型代码提供可编程接口二、x.

2021-03-23 22:02:40 677

原创 用gazebo 仿真器搭建仿真环境(机器人仿真学习3)

虚拟机打开摄像头会导致蓝屏,解决错误中

2021-03-21 22:27:46 877

原创 用 RViz 显示摄像头及URDF建模(机器人仿真学习1)

虚拟机打开摄像头会导致蓝屏,解决错误中

2021-03-21 22:26:56 1638 4

原创 ROS 话题、服务、动作通信编程

目录一、工作空间二、话题编程三、服务编程一、工作空间工作空间(workspace)是一个存放工程开放相关文件的文件夹文件夹作用src代码空间(Source Space )build编译空间(Build Space)devel开发空间(Development Space)install安装空间(Install Space)创建工作空间mkdir -p ~/catkin_ws/src #创建文件夹cd ~/catkin_ws/src

2021-03-21 21:29:59 127

原创 EXCLE数据回归与分类分析

目录一、对“父母子女身高”数据集进行线性回归分析1.首先找到数据分析工具2.开始数据分析一、对“父母子女身高”数据集进行线性回归分析一元线性回归练习。对“父母子女身高”数据集(高尔顿数据集)进行线性回归分析(简化的做法可选取父子身高数据为X-Y),用excel计算线性回归方程和相关系数、方差、p值等,判断回归方程是否成立? 现在如果有一个新家庭的数据,已知父亲身高75英寸,请测算儿子的身高为多少?1.首先找到数据分析工具开启教程:在Excel中激活数据分析工具2.开始数据分析(1)首先把父

2021-03-20 23:05:03 342

原创 鸢尾花Iris数据集SVM线性分类练习

目录一、配置虚拟环境二、开始实验三、完整代码四、参考资料一、配置虚拟环境这里选用命令行方式创建虚拟环境首先打开cmd,输入命令conda create -n exam1 python=3.8exam1 是虚拟环境的文件名,python 版本 3.8中间记得输入y,如图说明安装成功输入命令进入 exam1 虚拟环境activateconda activate exam1进入虚拟环境后,下载实验所需包pip install numpy -i "https://py

2021-03-20 21:58:01 1258 2

原创 Android Studio 4.1.2安装教程

目录一、下载并安装Android Studio二、配置Android Studio三、配置环境一、下载并安装Android Studio1.下载地址:https://developer.android.google.cn/studio/2.打开安装程序(1)(2)选择安装路径(3)(4)安装完成二、配置Android Studio1.启动Android Studio,选择don’t import settings2.选择Don’t send3.缺少SDK,这里点击取消4

2021-03-07 20:34:20 1670 1

原创 机器人操作系统(ROS)入门——小海龟demo

目录一、什么是ROS二、ROS的特点及优缺点三、 安装ROS并运行小海龟demo一、什么是ROSROS是一个适用于机器人编程的框架,这个框架把原本松散的零部件耦合在了一起,为他们提供了通信架构。ROS虽然叫做操作系统,但并非 Windows、Mac 那样通常意义的操作系 统,它只是连接了操作系统和你开发的ROS应用程序,所以它也算是一个中间件,为基于 ROS 的应用程序之间建立起了沟通的桥梁,所以也是运行在Linux上的运行时环境,在这个环境下,机器人的感知、决策、控制算法可以更好的组织和运行。二、

2021-03-03 20:50:07 845

原创 寒假python学习记录(1):pyhon简单实例

目录一、计算圆的面积和周长二、输入年份月数计算天数三、输入一串字符串判断其中各种类型的个数四、实现简单的计算器一、计算圆的面积和周长PI = 3.1415926#计算面积函数def get_area(r): return PI*r*r#计算周长函数def get_primeter(r): return 2*PI*r#判断输入函数def input_r(): while True: r_str = input("请输入圆的半径:")

2021-01-21 22:58:38 157

原创 git+gitee托管代码

目录一、git简介二、git下载安装三、git常见命令1.初始化git2.将工作区中所有文件上传到暂存区3.查看当前git状态5.将暂存区文件上传到本地仓库中四、创建一个远程仓库五、本地仓库推到远程仓库一、git简介Git是目前世界上最先进的分布式版本控制系统(没有之一)。Git 是一个开源的分布式版本控制软件,用以有效、高速的处理从很小到非常大的项目版本管理。 Git 最初是由Linus Torvalds设计开发的,用于管理Linux内核开发。Git 是根据GNU通用公共许可证版本2的条款分发的自由

2021-01-21 19:24:58 117

原创 STM32的DAC练习

目录一、DAC简介二、输出一个周期2khz的正弦波三、将一段数字音频歌曲数据转换为模拟音频波形输出一、DAC简介DAC为数字/模拟转换模块,故名思议,它的作用就是把输入的数字编码,转换成对应的模拟电压输出,它的功能与ADC相反。在常见的数字信号系统中,大部分传感器信号被化成电压信号,而ADC把电压模拟信号转换成易于计算机存储、处理的数字编码,由计算机处理完成后,再由DAC输出电压模拟信号,该电压模拟信号常常用来驱动某些执行器件,使人类易于感知。如音频信号的采集及还原就是这样一个过程。STM32具有片上

2021-01-09 19:00:24 325

原创 STM32F103利用定时器编程实现输出一路PWM波形

目录一、PWM简介二、STM32F1 PWM介绍三、PWM输出配置步骤一、PWM简介PWM是 Pulse Width Modulation 的缩写,中文意思就是脉冲宽度调 制,简称脉宽调制。它是利用微处理器的数字输出来对模拟电路进行控 制的一种非常有效的技术,其控制简单、灵活和动态响应好等优点而成 为电力电子技术最广泛应用的控制方式,其应用领域包括测量,通信, 功率控制与变换,电动机控制、伺服控制、调光、开关电源,甚至某些 音频放大器,因此学习PWM具有十分重要的现实意义。 其实我们也可以这样理解,PW

2021-01-08 21:16:50 2256

原创 基于 JSP+Mysql+Servlet的学生成绩管理系统

这里写目录一、搭建环境二、配置数据库1.启动数据库2.用Navicate 连接 MqSQL一、搭建环境下载实验所需工具:1.JDK参考资料:https://blog.csdn.net/qq_45940791/article/details/1093271062.Tomcat9.0参考资料:https://blog.csdn.net/xsfqh/article/details/797711843.My SQL参考资料:https://blog.csdn.net/qq_4030303

2021-01-07 14:36:03 3132 2

原创 利用阿里云服务器(ubuntu)创建MQTT服务器

目录一、配置阿里云服务器1.购买阿里云服务器(学生可以免费领取)2.开放端口3.远程登录到阿里云服务器二、配置MQTT1.使用脚本安装EMQ2.启动 EMQ X三、测试MQTT1.连接Websocket2.mqtt.fx的安装和使用3.打开mqtt.fx,新建一个连接3.在EMQ中订阅4.发送消息四、参考资料一、配置阿里云服务器1.购买阿里云服务器(学生可以免费领取)学生免费领取地址:https://developer.aliyun.com/adc/student/2.开放端口查阅MQTT服务器所

2021-01-03 16:55:35 1607

原创 JAVA读取指定城市的天气预报信息及给指定手机号码发送验证码

目录一、读取指定城市的天气预报信息1.概况1.网址组成分析2.URL类3.编码表4.API列表2.代码3.运行效果二、给指定手机号码发送验证码1.API列表2.代码如下3.实现效果三、小结一、读取指定城市的天气预报信息1.概况任务名称: 验证码短信发送任务概述: 通过Java程序,向用户输入的手机号码发送短信验证码。 任务线索: 1、网址组成分析 2、URL类使用 3、编码表 4、智能聊天机器人实现 5、短信发送API1.网址组成分析网址的组成: 协议://域名:端口号/虚

2021-01-03 14:21:56 537

原创 基于MDK创建纯汇编语言的STM32工程

这里写目录标题一、在Keil下完成一个汇编程序的编写1.创建新工程2.添加文件3.填入汇编代码4.开始编译调试二、用汇编程序完成闪烁LED的程序1.代码如下2.实现效果三、参考资料一、在Keil下完成一个汇编程序的编写1.创建新工程(1)点击Project,选择New uvison Project,选择一个文件夹进行保存(2)选择环境,ok2.添加文件(1)鼠标右键单击Source Group 1,选择Add New Item to Group,添加一个.S3.填入汇编代码AREA M

2020-12-30 20:26:04 155

原创 STM32Mini基于SPI接口的0.96寸OLED屏数据显示

目录一、准备阶段1.下载官方资料2.打开资料,找到引脚的接法,把是stm32与显示屏连接好3.准备好资料中汉字取模工具二、显示自己的学号和姓名所用开发板:野火STM32mini所用屏幕:0.96寸OLED显示屏模块一、准备阶段1.下载官方资料http://www.lcdwiki.com/zh/0.96inch_SPI_OLED_Module2.打开资料,找到引脚的接法,把是stm32与显示屏连接好3.准备好资料中汉字取模工具二、显示自己的学号和姓名(1)打开资料中所给的代码(2

2020-12-25 16:00:31 1622 1

原创 Stduino IDE实现STM32开发

目录一、Stduino IDE的安装及配置二、使用Stduino IDE点亮LED三、总结四、参考资料一、Stduino IDE的安装及配置Stduino IDE下载地址:http://www.stduino.com/pindex.php根据解压软件下载对应文件打开软件,配置对应的设置二、使用Stduino IDE点亮LED(1)所用代码void setup() { // put your setup code here, to run once: pinMode(PC2,OUTP

2020-12-22 21:04:45 237

原创 基于I2C协议的AHT20温湿度传感器的数据采集实验(STM32MINI)

目录一、 I2C 协议1.I2C 协议简介2.硬件I2C3.软件I2C4.二者对比所用开发板:STM32MINI一、 I2C 协议1.I2C 协议简介I2C 通讯协议(Inter-Integrated Circuit)是由 Phiilps 公司开发的,由于它引脚少,硬件实现简单,可扩展性强,不需要 USART、CAN 等通讯协议的外部收发设备,现在被广泛地使用在系统内多个集成电路(IC)间的通讯。在计算机科学里,大部分复杂的问题都可以通过分层来简化。如芯片被分为内核层和片上外设;STM32 标

2020-12-22 21:01:21 392

原创 计算机网络实验:Cisco Packet Tracer 实验

目录一、直接连接两台 PC 构建 LAN二、用交换机构建 LAN三、交换机接口地址列表四、生成树协议五、路由器配置初步一、直接连接两台 PC 构建 LAN组建网络如下第一台主机配置如下第二台主机配置如下测试能否ping通成功ping通二、用交换机构建 LAN构建如图所示网络配置如下机器名IP子网掩码pc0192.168.1.1255.255.255.0pc1192.168.1.2255.255.255.0pc2192.168.1.3

2020-12-20 22:23:34 5369 1

原创 Ardunio下实现stm32f103mini串口通信

目录一、安装配置Ardunio一、安装配置Ardunio(1)下载Ardunio及相关包官方下载地址:https://www.arduino.cc/en/software注意下载压缩包,不然得去window商店下载安装,后续操作很麻烦百度网盘下载(包含stm32板子包):https://pan.baidu.com/s/1M9Es93UJ6M7_E9HBcPeD5Q提取码:zdnb(2)下载完成,将stm32的包导入Ardunio将Arduino_STM32-master这个文件夹复

2020-12-14 19:16:29 574

原创 ubuntu与树莓派的socket应用通信

目录一、实验目的二、面向连接的流式套接字1.客户端设设置2.服务器端设置3.执行结果一、实验目的在 ubuntu 与树莓派之间进行验证两个 socket 应用实例,一个是面向连接的流式套接字,一个是非阻塞的多人聊天服务器端示例。 服务端选用:树莓派 客户端选用:ubuntu 局域网为手机热点二、面向连接的流式套接字1.客户端设设置(1)打开ubuntu虚拟机,编写客户端代码注意将虚拟机的网络连接设置为桥接模式代码如下#include <stdio.h>#includ

2020-12-05 13:42:08 770

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除