转 [Verilog] Quartus II 13.0下载安装和HelloWorld

主页: 元存储博客

转载自
https://blog.csdn.net/qq_38113006/article/details/121569176

文章目录

一、前言
Quartus II是Altera的FPGA设计工具,

二、安装包下载
百度云链接地址:https://pan.baidu.com/s/1VtDVKaiUDgbZI1vICS9jlw 提取码:ac9r

其他相关资料下载:http://www.corecourse.cn/forum.php?mod=viewthread&tid=27539

三、软件安装
安装包下载好后解压
在这里插入图片描述

点击进入【Quartus-13.0.0.156-windows】文件夹中,其中包含了开发 FPGA 所需的所有工具, 包括 Quartus
II13.0 主程序、 仿真工具 modelsim-altera, Dspbuilder 以及帮助文档。然后点击【setup.bat】右键选择【以管理员身份运行】
在这里插入图片描述

等待一会后会出现如下安装界面,点击【Next】即可
在这里插入图片描述

然后勾选【I accept the agreement】后依然点击【Next】
在这里插入图片描述

接下来选择安装路径,默认安装在C盘,按照自己的习惯我安装在D盘

接下来选择安装的内容,第 1 项为 quartus 软件主包,为必装选项; 第 2 项为 quartus 软件 64 位系统支持包, 64 位系统需要安装此包,第 3 项为 quartus的帮助选项,建议安装。 第 4 项为 modelsim–altera 的初学者版本,基础的学习和仿真安装初学者版本即可完全满足条件,就不需要选择安装第 5 项了, 且第 5 项使用需要 license,没必要安装。 第 6 项为 dspbuilder,需要和 matlab 2012b 或更高版本配合使用,没有需求不用安装。勾选完成后,就可以点击 next 直接运行下一步了。
在这里插入图片描述

接下来是对安装选项的一个汇总报告,直接点击 【next】 即可开始安装,

接下来等待安装,比较漫长,可能需要 几十分钟的时间。

安装完成后点击【finish】即可
在这里插入图片描述

四、运行软件
安装完后会自动打开软件,如果没有自动打开,从开始菜单或桌面上找到 Quartus II13.0 软件打开即可, 首次运行,会弹出以下界面, 提示我们安装 license。这里我们选择最后一项, 然后点击【OK】进入下一个页面。
在这里插入图片描述

接下来跳出如下窗口,我们复制网卡号,然后点击【Cancel】关闭即可,然后将 quartus 主程序一并关闭。如果没有跳出,则在 Quartus II 中依次点击【Tools】 ->【License Setup】 来打开以下窗口。
在这里插入图片描述

然后回到安装包所在的目录,进入【许可工具】目录,我的是64位系统,将【Quartus_13.0_SP1_x64许可工具】解压出来
在这里插入图片描述

然后将其复制粘贴到你软件安装目录的 bin64 文件夹下, 如我的对应就是D:\software\altera\13.0\quartus\bin64。
在这里插入图片描述

接下来选中它右键,选择以管理员身份运行, 则会出现以下界面:

然后我们点击应用,就会弹出如下所示的界面,即会生成一个 licens.dat 的文件,要求我们保存,我们先将其保存在桌面上。保存完成以后, 就可以关闭该工具了。
在这里插入图片描述
在这里插入图片描述

接下来,我们需要对刚刚生成的 license.dat 文件进行修改,找到先前复制的网卡号(NIC ID),将此 license 中的所有“XXXXXXXXXXXX”都用你的网卡号替换,然后保存此文件。
在这里插入图片描述

然后将其复制到一个不易被误删的文件夹下,一般选择保存在软件安装目录下,这里即【D:\software\altera\13.0】文件夹下。
(注意,这个地方一定要注意!!!!! 该路径千万不能再向下了,否则软件将不能正常识别 license)
在这里插入图片描述

然后再次运行 Quartus II 软件, 在弹出的页面中接着再次选择最后一项,点击OK,在打开的页面中,将 license file 指向你刚刚保存好的 license.dat,当出现图中所示的内容,即表明破解成功了。

在这里插入图片描述

五、安装器件库
安装器件库需要关闭Quartus II 软件,然后回到安装包的 Device 文件夹下,运行 DeviceInstall-13.0.0.156.exe 文件,

点击 next 即可,

在接下来弹出的页面中,为选择器件安装目录,这里必须和软件安装目录一致,所以这里修改为 D:\software\altera\13.0,

将【Cyclone, Cyclone II/III/IV】勾选即可,然后点击【Next】

同样点击【Next】

等待其安装完成,安装完成后点击【Finish】即可。

六、新建Hello工程
打开安装好的 Quartus II 软件,点击【File】->【New project Wizard】来新建一个工程

直接单击 Next 即可,

选择新建工程所在路径、设置工程命名以及顶层设计实体名称。在输入顶层设计实体时默认与工程名称一致,此处可根据具体情况来自行修改, 如下图所示。

没有已有的设计文件需要添加, 直接点击 Next,

选择器件,随便选择一个即可,这里我选择Cyclone IV E 系列的EP4CE10F17C8,然后点击【Next】

这里将仿真工具设置为 ModelsimAltera ,语言选择 Verilog HDL,其他选项可暂时不做处理,然后点击【Next】

点击【Finish】即可

六、新建设计
单击 【File】->【New】,弹出如图选择框,选择 Design Files 中的 【Verilog HDL File】,然后点击【OK】

输入代码如下所示,然后以hello.v 命名保存到工程目录下

然后单击工具栏中的 Start Analysis & Synthesis 来进行分析和综合,

分析综合成功后点击【RTL Viewer】

可以看到为一个二选一多路选择器

七、功能仿真
新建如下所示hello_tb.v 文件保存到工程目录下

单击标题栏的 Assignments→Settings→Simulation,选中 Compile test bench,单击 Test Benches 后点击 OK。

点击 New, 弹出如图 所示的 Test Bench 设置文件对话框,找到已经编写好的激励文件,单击 Add。在 Test bench name 中填写对应的激励名称。点击 OK 后回到主界面。
单击 Tools→Run Simulation Tool→RTL Simulation 来进行功能仿真,如图所示

然后就会发现报错如下所示,这是因为默认设置的仿真工具路径不对

点击Tools→Options→EDA Tool Options 设置对应的仿真软件的路径,注意,和原来的仿真路径相比,多了一层【modelsim_ase】目录

然后我们再次启动仿真,可以看到,启动了【ModelSim-Altera】软件,并可以在输出中看到打印了“Hello world", 同时我们还可以看到波形的变化。

————————————————
版权声明:本文为CSDN博主「Willliam_william」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/qq_38113006/article/details/121569176

总结


参考文献


声明
本文仅为学习交流目的。
文中部分文字和图片来源于互联网,列在参考的文献,但可能有遗漏。如有侵权,请告知我删除。

  • 21
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
### 回答1: Quartus II 13. 是一款 FPGA 设计软件,以下是使用教程: 1. 安装 Quartus II 13. 软件,并打开软件。 2. 创建一个新的工程,选择一个合适的文件夹保存工程文件。 3. 在工程中添加需要的文件,例如 Verilog 或 VHDL 代码文件、约束文件等。 4. 设计完毕后,进行编译,检查是否有语法错误或警告。 5. 如果编译通过,就可以进行仿真,检查设计的正确性。 6. 如果仿真通过,就可以进行合成,生成一个可烧录到 FPGA 芯片的 bit 文件。 7. 将生成的 bit 文件下载FPGA 芯片中,进行验证。 8. 如果验证通过,就可以将设计部署到实际的应用中。 以上是 Quartus II 13. 的使用教程,希望对您有所帮助。 ### 回答2: Quartus II是一款业内领先的FPGA设计软件,它支持从设计到实现的设计流程,并提供了大量的设计工具,可以方便地完成FPGA设计。本文将提供Quartus II 13.0使用教程。 1. 安装Quartus II 首先,下载Quartus II 13.0安装文件并运行安装程序。安装程序将指导您完成安装过程。请注意,安装过程可能需要一些时间,并可能需要输入您的许可证信息。 2. 创建新工程 运行Quartus II 13.0,单击菜单栏中的“File”选项并选择“New Project”。为工程命名并选择所需的文件路径。 3. 添加源代码 您可以将源代码文件添加到Quartus II 13.0工程中,这些源代码包括VHDL、Verilog等等(如果您有多个源代码文件,则可以在“New Project”窗口中添加它们)。选择文件后,单击“Add Files”以将其添加到工程中。 4. 设置源代码属性 在添加了源代码之后,您需要设置源代码文件的属性,包括类型、语言等等。要编辑某个源代码文件的属性,请选择该文件并单击鼠标右键并选择“Properties”。 5. 进行仿真 Quartus II 13.0支持不同的仿真模式,包括ModelSim-Altera和VCS等等。如果您已经安装Quartus II与ModelSim-Altera集成,可以使用仿真设计器进行仿真。 6. 进行综合 在您完成设计并进行过仿真后,您可以使用Quartus II 13.0的综合工具进行设计综合,将设计换为网表形式。 7. 进行配位 进行综合后,Quartus II 13.0支持多种不同的配位工具,包括Map、Fitter和Assembler等等。 8. 下载比特流 最终,您需要将比特流下载到目标设备中,以使设备能够执行您的设计。可以在“Tools”菜单下的“Programmer”选项中下载比特流。 总之,Quartus II 13.0是一个功能强大的FPGA设计工具,可以帮助您完成从设计到实现的完整设计流程。通过这个教程,您可以了解如何使用Quartus II 13.0,并将能够创建自己的FPGA设计。 ### 回答3: Quartus II13.0是一款由英特尔公司开发FPGA设计软件,可以帮助用户实现多种电路设计任务。使用这款软件进行电路设计,需要经过以下步骤: 1. 安装Quartus II13.0软件 首先,从英特尔公司官网上下载Quartus II13.0软件,并按照提示完成安装。 2. 打开Quartus II13.0软件 安装完成后,打开Quartus II13.0软件。界面会显示出“Create a New Project” 和“Open an Existing Project”两个选项,选择“Create a New Project”。 3. 创建新项目 在“Create a New Project”界面中,输入项目名称、目标设备和项目地址等信息。点击“Next”进入下一步。 4. 选择需要使用的电路元件库 在“Choose/Create Design Files”界面中,选择需要使用的电路元件库,如Verilog、VHDL等。点击“Next”。 5. 添加需要的文件 在“Add/Remove Files”界面中,点击“Add”按钮添加需要的文件,例如设计文件、约束文件等。点击“Next”。 6. 确认项目设置 在“Project Settings”界面中,确认项目设置是否正确。如果需要修改项目设置,点击“Back”返回修改。如果确认无误,点击“Finish”完成项目创建。 7. 开始设计 项目创建完成后,开始进行设计。设计完成后,可以使用编译工具进行设计验证和错误修正,并生成最终的文件。 总之,Quartus II13.0是一款非常实用的FPGA设计软件,使用它可以方便地进行电路设计和测试,并可以提高设计效率和质量。在使用这款软件的过程中,需要仔细阅读软件手册,并熟练掌握软件的使用技巧,才能更好地完成设计任务。
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

乐元

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值