modelsim
向前一一步走
热爱生活热爱技术
展开
-
Modelsim之 DO文件简介
转自:http://www.cnblogs.com/LJWJL/archive/2013/01/14/simulation.html 网上的关于DO文件的编写好像资料不多,比较杂,所以本人总结一下常用的简单语法,方便大家查看。其实本人也刚接触DO文件没多久,有纰漏很正常,欢迎指正批评,互相学习。PS:写得有点乱 还有一个值得注意的是 我在看到这篇文章的时候我正在仿真一个ver转载 2014-03-04 10:10:33 · 1575 阅读 · 0 评论 -
verilog 中最后用$fclose()的错误
用下边的的语句实现了一个写文件的功能integer fw_id;initial begin fw_id=$fopen("first.txt","w"); $fmonitor(fw_id, "%h\n", x_rl_a1_o); $fclose(fw_id);end结果就出现了这个:$fmonitor : Argument 1 is an unknown file原创 2015-01-09 09:14:45 · 2755 阅读 · 0 评论 -
modelsim do 文件
下边是写的do文件的例子。#creat a work libvlib work#map the work lib to current libvmap work work#compile the source filesvlog +acc -work work "F:/modelsimproject/data_mem/src/fifo32x16.v"vlog +原创 2014-12-09 23:07:37 · 1199 阅读 · 0 评论 -
quartusii 使用ModelSim do文件实现仿真(Verilog)
使用ModelSim do文件实现仿真(Verilog)QuartusII从9.1之后的版本都已经取消了内部自带的仿真器,都需要借助第三方仿真软件比如Modelsim才能实现仿真。一般在进行代码编写的时候,如果结合功能仿真,可以很快的验证代码实现的逻辑是否满足要求。所以熟练使用Modelsim也是逻辑工程师必须掌握的一个技能。由于Modelsim可以支持命令行的方式,通过创建d转载 2014-12-25 19:50:26 · 3380 阅读 · 0 评论 -
sv中$bitstorealshort() 函数
在sv中用$bitstorealshort()函数可以轻松的将十六进制,二进制数转化成单精度浮点数,以下为源代码,在modelsim10.1c中测试通过,文件需要保存为.sv文件module h2f;integer fid_rd;integer fid_wr;// integer fid_mif; bit [11:0] variable=0; bit [31:0] va原创 2015-01-22 16:30:24 · 3902 阅读 · 0 评论 -
modelsim 仿真中遇到的问题
1.在工程仿真当中,发现了位宽不是1位的信号,在仿真时为全f,但是其数据非f,可以展看此信号,查看其信号为仿真的正确值,非F;2.在工程当中,仿真的时候,发现信号一直为x,红线。查看逻辑时,完全正确,在无解时,发现了顶层信号将输入数据连接成了输出数据;修改后正确。原创 2015-02-11 22:21:45 · 1826 阅读 · 0 评论 -
ise 编译库
用命令:compxlib -s mti_se -l all -arch fpga -lib all -w -exclude_superseded -dir e:\xilinx_lib转载 2014-12-09 16:37:22 · 4675 阅读 · 3 评论