用verilog实现检测1的个数_verilog设计一个串行数据检测器

题目:设计一个串行数据检测器。要求是:连续4个或4个以上为1时输出为1,其他输入情况下为0。

代码如下:

module four_one( x, z, clk, rst, state);

input x, clk, rst;

output z;

output[2:0] state;

reg[2:0] state;

wire z;

parameter

IDLE = 'd0,

A = 'd1,

B = 'd2,

C = 'd3,

D = 'd4;

assign z = (state == D) ? 1 : 0;

always @(posedge clk or negedge rst)

if (!rst)

begin

state <= IDLE;

end

else

casex (state)

IDLE: if (x == 1)

begin

state <= A;

end

else

begin

state <= IDLE;

end

A: if (x == 1)

begin

state <= B;

end

else

begin

state <= IDLE;

end

B: if (x == 1)

begin

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值