vivado2017.1和modelsim10.5联合仿真

  • vivado2017.1和modelsim版本

vivado可在xilinx官网查询匹配的modelsim最低版本,下载modelsim前记得选用合适的版本号,如图

查询地址:https://www.xilinx.com/support/answers/68324.html

  • modelsim10.5破解

下載地址: http://bbs.eetop.cn/thread-629292-1-1.html 這個txt裏有下載地址

1,安裝軟件。但不要安裝 key drivers,安裝路徑不要有中文

2,複製”MentorKG.exe”、”patch64_dll.bat”到軟件安裝目錄下的Win64文檔夾內,默認的路徑為

C:\modeltech64_10.5\win64

3,運行”patch64_dll.bat”,將生成的許可證另存為lic_modelsim.txt,保存在軟件安裝目錄下(避免誤刪除)

4,創建系統環境變量,變量名:LM_LICENSE_FILE,變量值:lic_modelsim.txt的路徑。

Mentor Graphics ModelSim SE 10.5破解

参考地址:https://hk.saowen.com/a/e063cf21280b548d50e9b5cf3f61a565a8fde65be1f9f92565fb9ad5b92ca274

  • 联合仿真设置

首先在Modelsim的安装目录下新建一个文件夹,命名随意,知道是Vivado的库文件就行了。

打开一个Vivado工程,点击上方菜单栏Tools——>Compile Simulation Libraries

按上图所示选择,上面是刚才新建好要综合到的Vivado的库文件,下面是Modelsim的安装路径。设置完成后点击Compile,综合Vivado的库文件。

这个过程应该是持续半个小时或者更长,依个人电脑性能而定。

综合完成后会在Tcl Console打印出这样的信息,这都是综合好的库文件,可以看到是0Errors 警告可以忽略。

打开刚才Modelsim安装路径下新建的库文件可以看到生成了很多文件夹。

回到Vivado,点击左边Flow Navigtor的Setting——>Simulation,选择仿真工具为Modelsim,综合库文件路径指定到刚才生成好的路径。Apply。

选择3rdParty Simulators,按如图所示添加Modelsim安装路径和仿真库路径。Apply。

设置好后点击红框处就会调用modelsim进行仿真,modelsim仿真的好处就是比系统自带的快很多

我们电脑之前有可能已经安装过别的版本的Modelsim,所以安装过新的Modelsim后还需要重启电脑并重新配置vivado的license,也就是在上一步进行后会提示错误:

[USF-ModelSim-70]

解决办法:

Help->Manage License

 

重新配置新安装的modelsim的license,并重启vivado,另外需要注意,配置完modelsim的环境变量后记得重启电脑,否则配置vivado的license会不能改变。

配置成功后重新run simulation就成功了!

部分步骤参考链接:https://www.cnblogs.com/ninghechuan/p/8999078.html#top

 

转载于:https://www.cnblogs.com/desmondC/p/9984110.html

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值