Vivado 2017.4建立工程以及Modelsim 10.4的联合调试

这篇博客详细介绍了如何使用Vivado软件建立Verilog工程,包括从创建项目、选择芯片、添加源文件到编写Verilog代码,再到设置仿真环境和运行仿真的全过程。特别强调了在ModelSim中配置仿真库和设置环境变量的重要性。
摘要由CSDN通过智能技术生成

Vivado建立verilog工程

1.双击Vivado程序

2.在开始界面中选择Create Project

3.修改工程名及工程的存放地

4.NEXT,选择RTL Project,并选择Do not specify sources at this time

5.NEXT,选择芯片

6.Finish

7.添加Verilog文件

8.选择Create File,并Finish

9.修改模块名,编程verilog代码

10.添加仿真代码,和设计文件流程相同

11.直接在Vivado软件内选择功能仿真

12.在Tool中选择Compile Simulation Libraries

(1).Simulator:   ModelSim Simulator

(2).选择生成的库位置

(3).选择modelsim安装目录下的win64文件夹

(4).不勾选Compile Xilinx IP

(5)compile

生成后的配置文件需要添加环境变量

 

13.在Tool下的Settings进行配置

14.在Simulation里更改为 ModelSim Simulator并确定

 

15.运行仿真即可

 

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值