ZYNQ PL系列(二)vivado与modelsim的联合仿真

4 篇文章 0 订阅

ZYNQ PL系列(二)vivado与modelsim的联合仿真

第一章 VIVADO 的使用




前言

使用黑金的开发板,安装VIVADO 2017.4。
vivado和modelsim对应版本:https://www.xilinx.com/support/answers/68324.html

联合仿真

编辑仿真库

![在这里插入图片描述](https://img-blog.csdnimg.cn/20210512133226687.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3FxXzI2ODQ5OTMz,size_16,color_FFFFFF,t_70#pic_center在这里插入图片描述simulator选modelsim simulator,编译库compiled library location放入新建的文件夹D:/modeltech64_10.6d/Vivado_2017.4_lib中,simulator executable path选择modelsim安装路径下的win64。注意compile xilinx IP选项不勾选

在这里插入图片描述

更改modelsim.ini文件

  1. 在modelsim安装路径下有一个modelsim.ini文件,需要取消其只读属性,然后用记事本将其打开(由于格式不太喜欢我用的是UE),找到“modelsim_lib = $MODEL_TECH/…/modelsim_lib”处准备添加ip库路径(此过程只是打开该文件,找到位置)。
  2. 找到刚才编译ip库的文件夹,目录下也会有一个modelsim.ini文件,如下图所示:
    在这里插入图片描述
  3. 63行后,对应的都是编译好的IP所处的路径,将这些全部复制,然后打开modelsim安装路径下的modelsim.ini文件并完成粘贴,如下图所示:
    在这里插入图片描述
  4. 之后再打开modelsim就会发现xilinx的ip全被加载进modelsim啦。
  5. 添加完ip的modelsim打开后会多了许多库,如下图所示(不是完整截图):

在这里插入图片描述

联合仿真的使用

编写tb文件

module led_tb;
// Inputs
reg sys_clk;
reg rst_n ;
// Outputs
wire [3:0] led;
// Instantiate the Unit Under Test (UUT)
led uut (
	.sys_clk(sys_clk), 
	.rst_n(rst_n),
	.led(led)
);
initial
begin
// Initialize Inputs
	sys_clk = 0;
	rst_n = 0 ;
	#1000 ;
	rst_n = 1;
end
//Create clock
always #10 sys_clk = ~ sys_clk; 
endmodule

设置modelsim软件仿真

  1. 在设置第三方仿真软件安装的路径,以及编译库的路径,具体步骤如下三图所示。
    在这里插入图片描述在这里插入图片描述
    在这里插入图片描述
  2. 在一切准备好后,点Run Simulation的Run Behavioral Simulation
  3. 便会自动弹出modelsim,并显示波形
    在这里插入图片描述
  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

胖茄子

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值