乘法器的学习

今天开始写技术博客,每天都写一部分看看自己可以坚持多久。

  今天学习了一下黑金上的资料的,今天先讲一下乘法器的心得体会

  在进行设计时,首先将乘数和被乘数的首位进行异或运算,对数据的正负进行判断。然后不断地将被乘数加到开辟的乘积空间中。每加一次就对乘数进行减一操作,直到乘数变为零。一下贴出一些核心代码

always @(posedge clk or negedge rst_n)
if(!rst_n)
begin
rMultiplicand<=8'd0;
rMultiplier<=8'd0;
i<=2'd0;
temp<=16'd0;

end
else if(Start_Sig)
case(i)
0: begin

isNeg<=Multiplier[7]^Multiplicand[7];//异或运算,判断是否有负数
rMultiplicand<=Multiplicand[7]?(~Multiplicand+1'b1):Multiplicand;
rMultiplier<=Multiplier[7]?(~Multiplier+1'b1):Multiplier;
i<=i+1'b1;

temp<=16'd0;//一定要注意这里要清零,我就是在编程时没有注意,导致后面进行仿真时,结果出错
end

1:
if(rMultiplier==0) i<=i+1'b1;
else
begin
temp<=temp+rMultiplicand;
rMultiplier<=rMultiplier-1'b1;


end
2: begin
i<=i+1'b1;
isDone<=1'b1;
end
3: begin
isDone<=1'b0;
i<=2'b0;
end
endcase

我就进行了仿真文件的设计,

always@(posedge clk or negedge rst_n )
if(!rst_n )
begin
i<=4'b0;
Start_Sig<=1'b0;
Multiplicand<=8'd0;
Multiplier<=8'd0;
end
else

case(i)
0:
if(Done_Sig)
begin
i<=i+1'b1;
Start_Sig<=1'b0;
end
else
begin
Multiplier<=8'd27;
Multiplicand<=8'd25;
Start_Sig=1'b1;
end
1:
if(Done_Sig)
begin
i<=i+1'b1;
Start_Sig<=1'b0;
end
else
begin
Multiplier<=8'd29;
Multiplicand<=8'b11011101;
Start_Sig=1'b1;
end
2:
if(Done_Sig)
begin
i<=i+1'b1;
Start_Sig<=1'b0;
end
else
begin
Multiplier<=8'd2;
Multiplicand<=8'd5;
Start_Sig=1'b1;
end

 

转载于:https://www.cnblogs.com/54guge/p/4159928.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值