「Verilog学习笔记」流水线乘法器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module multi_pipe#(
	parameter size = 4
)(
	input 						clk 		,   
	input 						rst_n		,
	input	[size-1:0]			mul_a		,
	input	[size-1:0]			mul_b		,
 
 	output	reg	[size*2-1:0]	mul_out		
);
	reg [7:0] sum01, sum23 ;
	wire [7:0] t0, t1, t2, t3 ; 

	assign t0 = mul_b[0] ? {4'b0, mul_a} : 0 ; 
	assign t1 = mul_b[1] ? {3'b0, mul_a, 1'b0} : 0 ; 
	assign t2 = mul_b[2] ? {2'b0, mul_a, 2'b0} : 0 ; 
	assign t3 = mul_b[3] ? {1'b0, mul_a, 3'b0} : 0 ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) begin 
			sum01 <= 0 ; 
			sum23 <= 0 ; 
			mul_out <= 0 ;
		end
		else begin 
			sum01 <= t0 + t1 ; 
			sum23 <= t2 + t3 ; 
			mul_out <= sum01 + sum23 ;
		end
	end

endmodule
  • 7
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UESTC_KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值