使用8bit乘法器实现16bit数据的乘法

8bit的乘法器

module mult_8(

input clk,
input [7:0] a,
input [7:0] b,
output reg[15:0] c
    );
always@(posedge clk)begin 
    c <= a*b;
end

endmodule

8bit乘法器实现16bit数据乘法的实现

module tb_mult;
reg clk;
reg [15:0] data0,data1;

initial begin
    clk = 0;
    data0 = {8'h0f,8'h1};
    data1 = {8'h10,8'hac};
    #10000;
    data0 = {8'haf,8'h10};
    data1 = {8'h1a,8'h0c};
end

always #5 clk=~clk;

wire [31:0] data_out1;
wire [31:0] data_out2;

genvar i;

wire [7:0] a[3:0];
wire [7:0] b[3:0];
wire [15:0] c[3:0];

assign a[0]=data0[15:8];
assign a[1]=data0[15:8];
assign a[2]=data0[7:0];
assign a[3]=data0[7:0];

assign b[0]=data1[15:8];
assign b[1]=data1[7:0];
assign b[2]=data1[15:8];
assign b[3]=data1[7:0];

assign data_out1 = data0*data1;
assign data_out2 = {c[0],16'd0}+{8'd0,c[1],8'd0}+{8'd0,c[2],8'd0}+{16'd0,c[3]};

generate for( i=0; i<4; i=i+1)begin: mult
mult_8 mult_8_inst(
.clk(clk),
.a(a[i]),
.b(b[i]),
.c(c[i])
);
end
endgenerate

endmodule

仿真结果

在这里插入图片描述

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值