【转】Modelsim覆盖率检测

本文介绍了如何使用ModelSim进行硬件设计验证中的代码覆盖率检查。通过设置编译选项、编译、仿真和观察结果四个步骤,详细阐述了ModelSim的Code Coverage功能,包括Statement、Branch、Condition、Expression等覆盖率的统计,并提供了查看未覆盖部分的方法。
摘要由CSDN通过智能技术生成

Modelsim 仿真工具是Model 公司开发的,它支持Verilog、VHDL 以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow 窗口查看某一单元或模块的输入输出的连续变化等,比quartus 自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。

在用ModelSim做硬件设计验证的时候,需要了解待测设计(DUT)的代码覆盖率(code coverage),这就需要用到ModelSim自带的Code Coverage功能,ModelSim代码覆盖率功能Code coverage,能报告出statement(语句) 、branch(分支)、condition(条件)、 expression(表达式)、toggle(信号反转)、fsm(有限状态机)等多种覆盖率情况,进一步提高了测试的完整性。本文简要介绍ModelSim Code Coverage的使用方法,写的不对的地方希望有朋友留言指教。

步骤:

1、编译选项(compile options):

在ModelSim的Workspace里选中需要查看代码覆盖率的文件,使用Ctrl键选择多个文件,然后点击右键选择compile->compile  prperties->coverage

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值