Modelsim测试覆盖率操作说明

1、打开Project窗口界面

2、在project界面下,选中所有需要测试覆盖率的.v文件(不包括tb文件),鼠标点击右键,在Properties选项中选择Coverage选项,选择需要测试的覆盖率类型

3、重新编译所有的源文件(.v文件和.vhdl文件),打开Library窗口,鼠标右键选中tb文件,选择Simulate with Coverage,然后进行仿真。

4、覆盖率的查看:

5、保存覆盖率信息报告,在菜单栏中选择Tools-->Coverage Save-->保存为.ucdb文件格式。

6.如果需要合并多个.ucdb文件,使用quit -sim退出仿真,点击菜单栏“File”—>“change dirctory”,切换到.ucdb保存的目录下,在命令窗口使用“vcover merge  file_name.ucdb  *.ucdb”,*表示通配符。file_name.ucdb表示最终合并的覆盖率文件名,  *.ucdb表示需要合并的覆盖率文件名。
        如果在第5步中保存覆盖率时,文件名后没有加.ucdb后缀,那么在合并多个.ucdb文件时,文件名后不需要加.ucdb,上述命令为“vcover merge  file_name  *

  • 4
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值