Modelsim 简单的覆盖率测试

本文介绍了使用Modelsim SE-64 10.2c进行简单的覆盖率测试。

测试代码:

module	tb_top;

//=====================================================================\
// ********** Define Parameter and Internal Signals *************
//=====================================================================/

//======================================================================
// ***************      Main    Code    ****************
//======================================================================

    class Transaction; 
        rand bit [2:0] x;
        rand bit [3:0] y;
    endclass

    covergroup Cov(Transaction tr);
        x:coverpoint tr.x;
        y:coverpoint tr.y;
        cross x, y;
    endgroup

    initial begin
        Transaction tr;
        Cov c;
        tr = new();
        c = new(tr);
        repeat(200)begin
            assert(tr.randomize());
            c.sample();    
        end
    end

endmodule

将上述代码保存为tp_top.sv文件,存在一个自建的文件夹。

1.打开Modelsim SE-64 10.2c软件,不同版本的modelsim操作差不多。
2.创建新工程。
在这里插入图片描述
3.填写工程名字并选择工程目录
在这里插入图片描述
4.添加文件
点击Add Existing File,然后选择刚刚的tb_top.sv文件
在这里插入图片描述
5.设置编译属性
在Project窗口中,右键代码文件,点击Properties弹出工程编译设置窗口,然后点击Coverage,按照下图勾选有关设置。
在这里插入图片描述
6.编译文件
在Project窗口中,右键代码文件,点击Compile->Compile All
在这里插入图片描述
7.覆盖率仿真
在Library窗口,右键work下面tb_top,右键选择Simulate with Coverage,稍等片刻。
在这里插入图片描述
8.运行程序
在Transcript窗口输入run命令,即可运行程序。
在Covergroups窗口即可看到覆盖率信息。
在这里插入图片描述

  • 9
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值