Verilog读取HEX文件初始化ROM

ROM.v代码

这个模块设计的关键是在复位信号中执行初始化代码,读取指定位置的HEX文件中的数据初始化rom,然后在其他时钟沿时刻输出地址所指的数据。

//read hex file to initial ROM or RAM

module ROM(

    input clk,

    input rst_n,

    input[15:0] addr,

    output reg[7:0] q

    );

 

    parameter filename="F:/project/cpu/code/ModelSim/04_ROMInitTest/src/ROM.hex";

 

    reg[ 7:0] char_1st;

    reg[15:0] address; // Rom address

    reg[ 7:0] len; // bytes of one line in the hex file

    reg[ 7:0] dat;

    reg[7:0] sum; // intel hex file verification

    reg[640:1] errstr;

    reg[7:0] rom[0:4095];

    reg CanRead;

    integer i,fp,code;

 

    always@(posedge clk)

    if(!rst_n)begin

 

        char_1st = 0;

        address =0;

        len =0;

        dat =0;

        sum =0;

        CanRead =1;

 

        fp=$fopen(filename,"r");

 

        if

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值