Verilog 使用$readmemb .txt对非IP核的ROM/RAM的初始化

本文介绍如何使用Verilog和.txt文件初始化自编写的简易ROM。通过示例代码和测试,展示了当地址不匹配时,未初始化的地址读取到的数据为XX,以及.txt文件中地址标记的解析方式和注释支持。
摘要由CSDN通过智能技术生成

Verilog 使用$readmemb .txt对非IP核的ROM/RAM的初始化

对于直接调用rom/ram的ip核使用mif文件核hex文件将其初始化操作还是很简单的。这里讲自己编写简易的rom,使用txt文件对它初始化。

直接上模型代码:

module rom(data,addr,read);
output [7:0]  data;
input  [3:0]  addr;
input 		  read;
reg    [7:0]  memory [13'h1fff:0];
wire   [7:0]  data;

assign data = (read) ? memory[addr] : 8'hzz;

initial
	$readmemb("D:/altera/15.0/rom/rom.txt",memory);  //这个memory就是上面定义的reg memory。
endmodule

以及txt文件中的数据:

@000
11110000
11111111
11001011
11101010
11011111
11101010
01010101
01011010

testbench代码

`timescale 1 ps/ 1 ps
module rom_vlg_tst();
// constants                                           
// general purpose registers
// test vector input registers
reg [3:0] addr;
reg read;
// wires                                               
wire [7:0]  data;

// assign statements (if any)                          
rom i1 (
// port map - connection between master port
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值