Verilog乘法器

乘法器,不能用乘号直接表示,略坑呀

坑归坑,做还是要做的

思路:首先乘法分为有符号乘与无符号乘,所以建立两个module分别运算有符号与无符号。然后在总module中用case语句判断输出应赋的值。

  1. 有符号乘法可以用booth算法计算
  2. 无符号乘法只能用原码乘法 !

    详情点此

实验注意:
  1. if语句和case语句都只能用于always语句内部,如果要在always语句之外应用条件语句,可用三目运算符?:如下:assigndata = ( sel ) ? a : b;
  2. 循环语句 for(i = 0; i < n; i++)中的 i++ 在Verilog中是不可以直接使用的,需要变为i = i + 1;
  3. module1 中调用 module2 ,并需要将module2的输出储存的时候,存储中间变量不能为reg,而应为wire!!!否则将会出现如下错误 :

    Illegal output or inout port connection for port 'data_out1'.

  4. 但是输入并不需要置于wire型,且如果输入需要改动,则必须是reg型的,否则会报错如下:

    Illegal reference to net "data_in1".

转载于:https://www.cnblogs.com/pualus/p/5344289.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值