Quartus中两个要命的错误。

1.

Warning: Synthesized away the following node(s):
Warning: Synthesized away the following RAM node(s):
Warning (14320): Synthesized away node "MEMw:MEMw_inst|altsyncram:altsyncram_component|altsyncram_n4r1:auto_generated|q_b[0]"

2.

Warning: Design contains 18 input pin(s) that do not drive logic
Warning (15610): No output dependent on input pin "W[0]"
Warning (15610): No output dependent on input pin "Clk"
Warning (15610): No output dependent on input pin "Rst"

转载于:https://www.cnblogs.com/fkl523/p/3570653.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值