function coverage

收集function coverage,首先创建一个clas:

class component_cover extends uvm_component;

在component_class中定义covergroup:

covergroup GROUP_NAME;

endgroup

在new phase中new group;

GROUP_NAME=new();

在tlm的implement接口的write function中sample:

GROUP_NAME.sample();

然后将component在agent中例化,将其与implement接口与monitor的接口相连。

转载于:https://www.cnblogs.com/lybinger/p/8176341.html

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值