什么是SerDes,serializer/deserializer?

序列化器与反序列化器(SerDes,serializer/deserializer)是一种进行串行数据和并行数据相互转换的收发集成电路(IC)。发送器部分是一个串行到并行的转换器,接收器部分是一个并行到串行的转换器。多个SerDes接口常常装在一个包装里。
  
  SerDes方便了串行数据流两点之间并行数据的传输,减少了数据路径以及所需连接PIN或者线路。大多数SerDes器件可进行全双工操作,这意味着,可同时在两个方向进行数据转换。SerDes芯片一般用于千兆以太网系统、无线网络路由器、纤维光学通信系统以及存储系统。其规范和速度随着用户需要以及应用而有所不同,一些SerDes器件能够进行10 Gbps以上速度的操作。

serdes是一种信号转换设备,对商业计算机的输出信号进行并串行(串行化)转换,而对其输入信号进行串并行(解串)转换。

系统设计师们会采用串行器、解串器(serdes)技术的高速串行接口来取代传统的并行总线架构。基于serdes的设计增加了带宽,减少了信号数量,同时带来了诸如减少布线冲突、降低开关噪声、更低的功耗和封装成本等许多好处。而serdes技术的主要缺点是需要非常精确、超低抖动的元件来提供用于控制高数据速率串行信号所需的参考时钟。即使严格控制元件布局,使用长度短的信号并遵循信号走线限制,这些接口的抖动余地仍然是非常小的。

 

转载于:https://www.cnblogs.com/wei-chen-linux/p/9957753.html

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值