小白在使用ISE编写verilog代码综合时犯得错误及我自己的解决办法

一:错误原因,顶层信号声明类别错误

错误前

 

更改后

二:综合时警告

更改前:

错误原因:调用子模块时 输出端口只能用wire类型变量进行映射 这是verilog语法规定的 

tx_done在uart_tx模块中初始化时的赋值是0,send_en需要在初始化后置为1。而我这样写,初始化后send_en仍然是0,逻辑出现问题。需要将tx_done取反后再赋值。这样逻辑就正确了。
再次综合后就没有这个警告了

 三:this signal is connected to multiple drivers错误 

一个寄存器端口只能在一个块中被赋值比如在always和initial中,如果是在两个块中都被赋值了,这样就会冲突。

 

转载于:https://www.cnblogs.com/danielwc/p/9018297.html

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值