【ModelSim】使用终端命令行来编译、运行Verilog程序,创建脚本教程

▚ 01 ModelSim命令解说


  • 📢 这些命令是 ModelSim 中常用的命令,用于创建库、编译源代码和启动仿真。
  • 🔔 在使用这些命令之前,你需要在 ModelSim 的命令行界面或脚本中执行 vlib 命令来创建一个库,然后使用 vlog 命令编译源代码文件,并最后使用 vsim 命令启动仿真。

1.1 创建库(vlib)

  • 🌹 vlib:该命令用于创建一个新的库(library),用于组织和管理编译后的设计单元(如模块、包等)的文件夹。语法为:
vlib <library_name>
  • 其中,<library_name>是将要创建的库的名称,使用该命令后,ModelSim会在当前目录下创建一个新的库文件夹。

1.2 编译源代码(vlog)

  • 🎃 vlog:该命令用于编译Verilog源代码文件,并将其转换为可在仿真中使用的模型。语法为:
vlog <source_files>
  • 其中,<source_files>是指一个或多个需要编译的源代码文件的名称,vlog编译这些文件后,会生成相应的模型文件(比如.vst或.vdb文件),以便后续仿真使用。

1.3 启动仿真(vsim)

  • 🎭 vsim:该命令用于启动ModelSim的仿真环境,并加载指定的设计单元进行仿真。语法为:
vsim <entity_name>
  • 其中,<entity_name>是指顶层设计单元(如模块或顶层模块实例)的名称。vsim命令将打开ModelSim的仿真窗口,并加载指定的设计单元,准备进行仿真。可在仿真窗口中设置仿真参数、运行仿真以及观察波形等。
  • 其他参数:-do:用于指定执行一个或多个ModelSim命令的脚本文件;-c:表示以命令行模式运行ModelSim,而不是启动图形用户界面。

▚ 02 举例说明


2.1 逐行命令执行

vlib work
vlog counter.v testbench.v
vsim -c -L work testbench -do "run -all; quit"
  • 其中,一般情况下,会新建库名为work
  • vlog可一次编译所有的*.v文件。
  • vsim中-L work:指定需要加载的库,其中work表示默认工作库;run -all; quit,是在指定的脚本文件中,run -all命令用于开始仿真并运行所有的测试向量,quit命令用于在仿真完成后退出ModelSim。

2.2 Makefile脚本执行

2.2.1 Makefile类型1️⃣

  • 🧀 Makefile文件为:
run:
	vlib work
	vlog counter.v testbench.v
	vsim -c -L work testbench -do "run -all; quit"

clean:
	rm -rf work transcript vsim.wlf
  • 在终端执行make run,会依次创建库、编译源代码和启动仿真;执行make clean则会清除新产生的文件。

2.2.2 Makefile类型2️⃣

  • 🍕 Makefile文件为:
RTL=counter.v testbench.v

run: work compile sim

work:
	vlib work

compile:
	vlog ${RTL}

sim:
	vsim -c -L work tb -do "run -all; quit"

clean:
	rm -rf work transcript vsim.wlf
  • 其中,make work, make compile, make sim依次对应于创建库、编译源代码和启动仿真;make run,会依次创建库、编译源代码和启动仿真;执行make clean则会清除新产生的文件。

2.2.3 Makefile类型3️⃣

  • 🍟 Makefile文件为:
run:
	vlib work
	vlog counter.v testbench.v
	vsim -c -L work testbench -do "./run.do"

clean:
	rm -rf work transcript vsim.wlf
  • 🌭 run.do文件为:
view wave
add wave *
run -all; quit
  • 在终端执行make run,会依次创建库、编译源代码和启动仿真;run.do 为ModelSim命令的脚本文件;执行make clean则会清除新产生的文件。


▚  参考


  • 4
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值