VHDL数据类型

VHDL表示16进制

如 a : std_logic_vector(7 downto 0)

把0x55赋给a

a <= x"55";

b表示二进制 b“1011_1111” 2#1010#

o表示八进制o“125”  8#125#

十进制 10#120#

用单引号引起来的ASCII值,也可以表示数值‘E’,‘%’,‘22’

一维的字符数组,需要放在双引号中,“abcd”

表示信号某一位的方法a(3)

自然数表示法natural

正整数表示法:positive

转载于:https://www.cnblogs.com/zhongguo135/p/3212498.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值