程序执行vhdl中延时器的编写

首先声明,我是一个菜鸟。一下文章中出现技术误导情况盖不负责

 

    最近由于项目的须要也是一直在开发fpga,有点心得。呵呵,主要是下面这个程序(延时器)启发了我,record一下:

entity delay is
   port(
          en:in std_logic;
      clk:in std_logic;
      en_delay:out std_logic	
   );
end delay;

architecture Behavioral of bitmap_Contrl is
     signal tmp:std_logic;
     signal tmp1:std_logic;
begin
     process(Clk)--控制信号延迟
      begin
        if(clk'event and clk='1')then
	      tmp <= En;
	      tmp1 <= tmp;
        end if;
     end process;
     en_delay <= tmp1;
	
end Behavioral;
    每日一道理
宽容,是一种坦荡,可以无私无畏,无拘无束,无尘无染。宽容,是一种豁达,是比海洋和天空更为博大的胸襟,是宽广和宽厚的叠加,延续和升华。宽容有度,宽容无价,宽以待人,这
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值