vhdl中延时器的编写

 

最近由于项目的需要也是一直在开发fpga,有点心得。呵呵,主要是下面这个程序(延时器)启发了我,record一下:

entity delay is
   port(
          en:in std_logic;
      clk:in std_logic;
      en_delay:out std_logic	
   );
end delay;

architecture Behavioral of bitmap_Contrl is
     signal tmp:std_logic;
     signal tmp1:std_logic;
begin
     process(Clk)--控制信号延迟
      begin
        if(clk'event and clk='1')then
	      tmp <= En;
	      tmp1 <= tmp;
        end if;
     end process;
     en_delay <= tmp1;
	
end Behavioral;


上面这个程序为什么能够对en进行延时呢?

tmp <= En;
tmp1 <= tmp

上面两句话其实是同时执行的,什么意思呢,就是第二句话执行的时候被tmp赋值的其实是前一刻的值。En首先将值保存到tmp中,下次赋值到tmp1中。

这里有个关键点:两句话是同时执行的。我想理解这个程序以后编写其他程序应该不成什么问题,我就是从这个程序悟道的。

 

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值