用VHDL语言实现一个时延模块,可以满足任意时钟周期的时延。代码如下:

用VHDL语言实现一个时延模块,可以满足任意时钟周期的时延。代码如下:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL; 
use IEEE.STD_LOGIC_ARITH.ALL; 

entity Delay is
generic(
	num     : integer    --设置要延迟的周期数
	);
port( 
	clk     : in std_logic;
	sig     : in std_logic;   
	del_sig : out std_logic
	);
end Delay;
architecture Delay of Delay is

signal sig_delay    : std_logic_vector(num-1 downto 0) := (others =>'0');

begin
	process(clk)
	begin
		if (clk'event and clk = '1') then
			sig_delay(0) <= sig;
			sig_delay(num-1 downto 1) <= sig_delay(num-2 downto 0);
		end if;	
	end process;
	del_sig <= sig_delay(num-1);
	
end Delay;

  • 9
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值